LOGICAL DESIGN OF DIGITAL COMPUTERS

Mărimea: px
Porniți afișarea la pagina:

Download "LOGICAL DESIGN OF DIGITAL COMPUTERS"

Transcriere

1 Strctra și Organizarea Calclatoarelor Titlar: BĂRBULESCU Lcian-Florentin

2 Capitoll 6 STRUCTURA SIMPLIFICATĂ A UNUI PROCESOTR MIPS

3 CONȚINUT Procesor MIPS c eecția pe n cicl Little-endian și Big-endian Registrele Mltipleor Vectorial Unitatea Aritmetică și Logică ALU Unitatea de control Analiza eecției ni instrcțini aritmetice Analiza eecției instrcținii LW Analiza eecției instrcținii SW Analiza eecției instrcținii BEQ 5/8/28 SOC_

4 Procesor MIPS c eecția pe n cicl Pentr fiecare instrcține primii doi pași snt identici:. Trimite către nitatea de memorie valoarea din PC și etrage de la acea adresă instrcținea ce trebie eectată. 2. Citește nl sa doă registre, în fncție de anmite câmpri din instrcține. Dpă acești doi pași restl acținilor depind de tipl instrcținii. Snt trei tipri de instrcțini: - acces la memorie - aritmetică și logică - salt. 5/8/28 SOC_

5 Procesor MIPS c eecția pe n cicl Branch M 4 Add Add M PC address Intstrctions Memory Instrction Registers data data Register # Register # data 2 Register # M A L U Zero Data Memory address data RegWrite data control ALUSrc MemtoReg ALU operation MemRead MemWrite Branch 5/8/28 SOC_

6 Procesor MIPS c eecția pe n cicl Valoarea scrisă în PC provine de la nl din cele doă smatoare, iar datele scrise in registre provin fie de la ALU, fie de la Memorie. Aceste linii de date n pot fi legate direct între ele; trebie adăgat n element care permite alegerea nei srse din mai mlte disponibile si conectarea acesteia la destinație. Această selecție se realizează c n dispozitiv nmit mltipleor, deși mlt mai corect ar trebi denmit selector de date. Mltipleorl alege din mai mlte intrări pe baza liniilor sale de selecție. Valorile acestor linii se bazează pe informații etrase din instrcține. Și alte nități trebie controlate în fncție de instrcține. De eempl accesl la memorie trebie sa fie de tip citire pentr LW și scriere pentr SW. Sa ALU trebie să eecte diferite operații. Ca și mltipleoarele, aceste operații snt decise pe baza nor linii de control ce vor fi modificate în fncție de diferite câmpri din instrcține. 5/8/28 SOC_

7 Procesor MIPS c eecția pe n cicl Figra anterioara prezintă fll de date împrenă c mltipleoarele și liniile de control pentr principalele nități fncționale. O Unitate de Control primește instrcținea ca intrare și determină valorile liniilor de control pentr nitățile fncționale și pentr doă din mltipleoare. Al treilea mltipleor, cel care determină dacă PC + 4 sa adresa de salt este scrisă in PC este controlat și de ieșirea Zero din ALU, folosită la instrcținea BEQ Deoarece instrcținile MIPS snt simple si rmează n format rigros, decodificarea instrcținilor este n proces simpl iar valorile liniilor de control pot fi foarte șor setate. 5/8/28 SOC_

8 Procesor MIPS c eecția pe n cicl Eecția oricărei instrcțini începe prin tilizarea PC pentr a obține adresa de memorie a instrcținii. Dpă etragerea din memorie (FETCH), registrele operand snt identificate în fncție de câmprile din instrcține Dpă ce operanzii snt etrași ei snt folosiți pentr a calcla o adresă de memorie (pentr citire sa scriere în memorie), pentr a calcla n rezltat aritmetic (pentr instrcțini aritmetice și logice) sa o comparație (pentr instrcțini de salt) Daca instrcținea este de tip aritmetic sa logic atnci rezltatl ALU este salvat într-n registr. Dacă instrcținea este de tip acces de memorie atnci rezltatl ALU reprezintă adresa de memorie nde sa de nde trebie scrisă sa citită valoarea dintr-n registr. Instrcținile de salt pot folosi ALU pentr a calcla dacă conțintl a doa registre este identic (folosit de instrcținea BEQ) 5/8/28 SOC_

9 CONȚINUT Procesor MIPS c eecția pe n cicl Little-endian și Big-endian Registre Mltipleor Vectorial Unitatea Aritmetică și Logică ALU Unitatea de control Analiza eecției ni instrcțini aritmetice Analiza eecției instrcținii LW Analiza eecției instrcținii SW Analiza eecției instrcținii BEQ 5/8/28 CSO_

10 5/8/28 SOC_ LITTLE-ENDIAN AND BIG-ENDIAN Big-endian order Little-endian order sperior address inferior address address sperior address inferior address address

11 CONTENT Procesor MIPS c eecția pe n cicl Little-endian și Big-endian Registre Mltipleor Vectorial Unitatea Aritmetică și Logică ALU Unitatea de control Analiza eecției ni instrcțini aritmetice Analiza eecției instrcținii LW Analiza eecției instrcținii SW Analiza eecției instrcținii BEQ 5/8/28 SOC_

12 REGISTRE 32 from PC Read address Instr[3:26] to Unit Control Instr[25:2] Instr[2:6] 5 5 Read register Read register 2 Read data 32 To ALU Instr[3:] Instrction memory Instr[5:] RegDst MUX 5 32 Write register Write data Registers Read data 2 32 To ALU or Data memory Instr[5:] 6 Signetend from Data Memory/ALU to ALU RegWrite Instr[5:] to control ALU 5/8/28 SOC_

13 REGISTRE Read register 5 32 Write register 5 DEC r r r2 r3 r4 MUX 32 Read data... MUX 2 32 Read data 2 Write Data 32 r3 RegWrite 5 Read register 2 5/8/28 CSO_

14 CONȚINUT Procesor MIPS c eecția pe n cicl Little-endian și Big-endian Registre Mltipleor Vectorial Unitatea Aritmetică și Logică ALU Unitatea de control Analiza eecției ni instrcțini aritmetice Analiza eecției instrcținii LW Analiza eecției instrcținii SW Analiza eecției instrcținii BEQ 5/8/28 SOC_

15 MULTIPLEXOR VECTORIAL SEL 2 A[7..] B[7..] 8 MUX 48 C[7..] D[7..] 5/8/28 SOC_

16 MULTIPLEXOR VECTORIAL A B C D A B C D A2 B2 C2 D2 A3 B3 C3 D3 A4 B4 C4 D4 A5 B5 C5 D5 A6 B6 C6 D6 A7 B7 C7 D7 S MUX 4 MUX 4 MUX 4 MUX 4 MUX 4 MUX 4 MUX 4 MUX 4 S Y Y Y 2 Y 3 Y 4 Y 5 Y 6 Y 7 5/8/28 SOC_

17 CONȚINUT Procesor MIPS c eecția pe n cicl Little-endian și Big-endian Registre Mltipleor Vectorial Unitatea Aritmetică și Logică - ALU Unitatea de control Analiza eecției ni instrcțini aritmetice Analiza eecției instrcținii LW Analiza eecției instrcținii SW Analiza eecției instrcținii BEQ 5/8/28 SOC_

18 ALU Cellă ALU pe n bit Ainv Binv Cin operation 2 a reslt b + 2 Cot 5/8/28 SOC_

19 ALU Cellă ALU pe n bit pentr MIPS Ainv Binv Cin operation 2 a reslt b + 2 Less 3 Cot 5/8/28 SOC_

20 ALU Cellă ALU pe n bit pentr MIPS (MSB) Ainv Binv Cin Operation 2 [Op Op] a Reslt b + 2 Less 3 Set Overflow detection Overflow 5/8/28 SOC_

21 Cin ALU Less Cot Cin ALU Less Cot Cin ALU2 Less Cot Cin ALU3 Less Reslt Reslt Reslt2 Reslt3 Overflow ALU ALU pentr MIPS Set Ainvert Binvert b3 a3 b2 a2 b a b a... Carryin Operation Zero 5/8/28 SOC_

22 ALU Comenzi ALU Ainv Binv op op Fnction AND OR add sb Set on less than NOR 5/8/28 SOC_

23 ALU Legătra între instrcțini și comenzi ALU Load/ store J type R type Opcode ALUop Instrction operation Instr[5:] ALU operation ALU command LW load word add SW store word add BEQ branch eqal sb ADD add add SUB sbtract sb AND AND AND OR OR OR SLT Set on less than Set on less than 5/8/28 SOC_

24 ALU Tabela de adevăr pentr comenzi ALU ALUOp ALUop ALUop Instr [5:] F5 F4 F3 F2 F F ALU Commands Ainv Binv op op 5/8/28 SOC_

25 Instr[5:] ALU Format etension 6 Sign-etended bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs bs Shift left 2 5/8/28 SOC_

26 CONȚINUT Procesor MIPS c eecția pe n cicl Little-endian și Big-endian Registre Mltipleor Vectorial Unitatea Aritmetică și Logică ALU Unitatea de control Fll de date pentr o instrcține aritmetică Fll de date pentr o instrcținea LW Fll de date pentr o instrcținea SW Fll de date pentr o instrcținea BEQ 5/8/28 SOC_

27 DATAFLOW AND CONTROL UNIT 4 Add Shift left 2 Add M Branch Instr[3:26] RegDst control MemtoReg MemRead MemWrite ALUop ALUSrc RegWrite 2 PC Instrction memory Instrction address Instr[25:2] Instr[2:6] Instr[5:] M Registers Read register Read register 2 Write register Read data 2 Write data Read data M A L U Zero 4 Data memory Address Write data Read data M Instr[5:] Signetend ALU control Instr[5:] 5/8/28 SOC_

28 UNITATEA DE CONTROL Semnale de control Signal name RegDst RegWrite ALUSrc Branch MemRead MemWrite MemtoReg Effect when deasserted (deactivated) The destination address register comes from instr[2:6] None The second ALU operand comes from the second register otpt Read data 2 The PC vale is compted in fetch phase (PC+4) None None At Write Data inpt is transmitted the ALU otpt Effect when asserted (activated) The destination address register comes from instr[5:] The vale from Data inpt is written in selected register The second ALU operand is Sign-etended The PC vale is replaced with compted vale for jmp Is read the selected location in Data memory Is written the information from Write data inpt in selected location in Data memory At Write Data inpt is transmitted the otpt from Data memory block 5/8/28 SOC_

29 CONȚINUT Procesor MIPS c eecția pe n cicl Little-endian și Big-endian Registre Mltipleor Vectorial Unitatea Aritmetică și Logică ALU Unitatea de control Analiza eecției ni instrcțini aritmetice Analiza eecției instrcținii LW Analiza eecției instrcținii SW Analiza eecției instrcținii BEQ 5/8/28 SOC_

30 Analiza eecției ni instrcțini aritmetice 4 Add M Branch Instr[3:26] control MemtoReg RegDst ALUop ALUSrc RegWrite 2 PC Instrction memory Instrction address Instr[25:2] Instr[2:6] Instr[5:] M Write data Registers Read register Read data Read register 2 Write register Read data 2 M A L U Zero 4 M Instr[5:] ALU control Instr[5:] 5/8/28 SOC_

31 CONȚINUT Procesor MIPS c eecția pe n cicl Little-endian și Big-endian Registre Mltipleor Vectorial Unitatea Aritmetică și Logică ALU Unitatea de control Analiza eecției ni instrcțini aritmetice Analiza eecției instrcținii LW Analiza eecției instrcținii SW Analiza eecției instrcținii BEQ 5/8/28 SOC_

32 Analiza eecției instrcținii LW 4 Add M Branch Instr[3:26] RegDst control MemtoReg MemRead MemWrite ALUop ALUSrc RegWrite 2 PC Instrction memory Instrction address Instr[25:2] Instr[2:6] M Write data Registers Read register Read data Read register 2 Write register Read data 2 M A L U Zero 4 Data memory Address Write data Read data M Instr[5:] Signetend ALU control 5/8/28 SOC_

33 CONȚINUT Procesor MIPS c eecția pe n cicl Little-endian și Big-endian Registre Mltipleor Vectorial Unitatea Aritmetică și Logică ALU Unitatea de control Analiza eecției ni instrcțini aritmetice Analiza eecției instrcținii LW Analiza eecției instrcținii SW Analiza eecției instrcținii BEQ 5/8/28 CSO_

34 Analiza eecției instrcținii SW 4 Add Shift left 2 Add M Branch Instr[3:26] RegDst control MemtoReg MemRead MemWrite ALUop ALUSrc RegWrite 2 PC Instrction memory Instrction address Instr[25:2] Instr[2:6] Instr[5:] M Write data Registers Read register Read data Read register 2 Write register Read data 2 M A L U Zero 4 Data memory Address Write data Read data Instr[5:] Signetend ALU control Instr[5:] 5/8/28 SOC_

35 CONTENT Procesor MIPS c eecția pe n cicl Little-endian și Big-endian Registre Mltipleor Vectorial Unitatea Aritmetică și Logică ALU Unitatea de control Analiza eecției ni instrcțini aritmetice Analiza eecției instrcținii LW Analiza eecției instrcținii SW Analiza eecției instrcținii BEQ 5/8/28 SOC_

36 Analiza eecției instrcținii BEQ 4 Add Shift left 2 Add M Branch Instr[3:26] control ALUop ALUSrc RegWrite 2 PC Instrction memory Instrction address Instr[25:2] Instr[2:6] Registers Read register Read data Read register 2 Read data 2 M A L U Zero 4 Instr[5:] Signetend ALU control 5/8/28 SOC_

37 Referințe (Trebie Citit) Patterson David, Hennessy John- Compter Organization and Design The Hardware/Software Interface (4 th Edition), Morgan Kafmann Pblishers, 28, Capitoll 4, pag sa Patterson David, Hennessy John- Compter Organization and Design The Hardware/Software Interface (3 rd Edition), Morgan Kafmann Pblishers, 24, Capitoll 5, pag /8/28 SOC_

38 ÎNTREBĂRI? 5/8/28 SOC_

Arhitectura calculatoarelor. Indrumator de laborator

Arhitectura calculatoarelor. Indrumator de laborator Florin ONIGA Mihai NEGRU ARHITECTURA CALCULATOARELOR Îndrumător de laborator Editura UTPRESS Cluj-Napoca, 2019 ISBN 978-606-737-350-9 Editura U.T.PRESS Str.Observatorului nr. 34 400775 Cluj-Napoca Tel.:0264-401.999

Mai mult

Slide 1

Slide 1 SCTR -SZOKE ENIKO - Curs 4 continuare curs 3 3. Componentele hard ale unui sistem de calcul in timp real 3.1 Unitatea centrala de calcul 3.1.1 Moduri de adresare 3.1.2 Clase de arhitecturi ale unitatii

Mai mult

Adresarea memoriei Modurile de adresare constituie un instrument principal pentru reprezentarea în memorie a imaginii datelor, aşa cum este ace

Adresarea memoriei Modurile de adresare constituie un instrument principal pentru reprezentarea în memorie a imaginii datelor, aşa cum este ace 174 12 Adresarea memoriei Modurile de adresare constituie un instrument principal pentru reprezentarea în memorie a imaginii datelor, aşa cum este aceasta văzută de programatorul în limbaj de nivel înalt.

Mai mult

De la BIT la procesor

De la BIT la procesor Florin ONIGA DE LA BIT LA PROCESOR. Introducere în arhitectura calculatoarelor Editura UTPRESS Cluj-Napoca, 29 ISBN 978-66-737-366- Editura U.T.PRESS Str.Observatorului nr. 34 4775 Cluj-Napoca Tel.:264-4.999

Mai mult

Microsoft Word - intro_msp430.doc

Microsoft Word - intro_msp430.doc PREZENTAREA GENERALA A ARHITECTURII (TEXAS INSTRUMENTS) Familia de microcontrolere incorporează o unitate centrală (CPU) tip RISC de 16 biţi, periferice specializate, memorie internă de tip ROM şi RAM,

Mai mult

Microsoft Word - 4-Interfete paralele.doc

Microsoft Word - 4-Interfete paralele.doc 4. Cuprins modul 4.1. neprogramabile 4.2.Interfaţa paralelă programabilă 4.3.Protocoale de transfer 4.4.Programarea circuitului de interfaţă paralelă 4.5.Exemplu de implementare Cuprins Introducere După

Mai mult

Slide 1

Slide 1 SCTR -SZOKE ENIKO - Curs 3 3. Componentele hard ale unui sistem de calcul in timp real 3.1 Unitatea centrala de calcul 3.1.1 Moduri de adresare 3.1.2 Clase de arhitecturi ale unitatii de calcul 3.2

Mai mult

Proiectarea unui procesor didactic

Proiectarea unui procesor didactic CN2_Cursul 3. Proiectarea unui procesor didactic. Dupa cum este cunoscut, procesorul reprezinta, in notatia PSM, ansamblul: P = D K unde: - P - procesor, - D - unitate de executie ( operator asupra datelor),

Mai mult

PROCESOARE NUMERICE DE SEMNAL

PROCESOARE NUMERICE DE SEMNAL D(15-0) - Magistrala de date O magistrală de 16 biţi folosită pentru transportul datelor. DAB(15-0) - Magistrala de adrese a memoriei de date O magistrală de 16 biţi care grupează adresele memoriei de

Mai mult

Implementarea calculatorului didactic DLX (Cursul 3)

Implementarea calculatorului didactic DLX   (Cursul 3) CN1_Cursul 10. Implementarea calculatorului didactic DLX Cu exceptia instructiunilor in VM, toate instructiunile DLX pot fi descompuse in 5 pasi fundamentali, fiecare pas necesitand una sau mai multe perioade

Mai mult

Microsoft Word - CP4-13.DOC

Microsoft Word - CP4-13.DOC Capitoll 4 TRASFORMĂRI DE IMAGII 4. ITRODUCERE Termenl de transformări de imagini se referă la clasa matricilor nitare tilizate în reprezentarea imaginilor. La fel cm n semnal nidimensional poate fi reprezentat

Mai mult

Microsoft Word - O problema cu bits.doc

Microsoft Word - O problema cu bits.doc O problemă cu bits 1 Tiberiu Socaciu Enunţul Pe pagina Proful de Mate de pe Facebook 2 am primit de la un elev de clasa a IX-a următoarea provocare 3 : Vom oferi două soluţii, una folosind manipulări de

Mai mult

Cartelele telefonice

Cartelele telefonice Cartelele telefonice Mr.instr. Gheorghe OLAN Generalităţi De la mijlocul anilor 80 a apărut o nouă generaţie de carduri (aşanumitele carduri inteligente sau carduri cu cipuri), care au înlocuit majoritatea

Mai mult

NPC

NPC Curs 7. Operarea in Banda de Asamblare (BA). 7.1. Introducere. BA reprezinta o tehnica de implementare a procesoarelor, care presupune derularea simultana a mai multor instructiuni, ale unui program, aflate

Mai mult

D.Rusu, Teoria măsurii şi integrala Lebesgue 12 SPAŢII L P Cursul 11 Proprietăţi de densitate în spaţiile L p Proprietăţile de densitate ne permit să

D.Rusu, Teoria măsurii şi integrala Lebesgue 12 SPAŢII L P Cursul 11 Proprietăţi de densitate în spaţiile L p Proprietăţile de densitate ne permit să DRs, Teoria măsrii şi integrala Lebesge 12 SPAŢII L P Crsl 11 Prorietăţi de densitate în saţiile L Prorietăţile de densitate ne ermit să aroximăm fncţiile din L ( c fncţii din L ( c o strctră mai simlă,

Mai mult

Laborator - Configurarea Rutelor IPv4 Statice și Implicite Topologie Tabela de Adresare Echipame nt Interfață Adresă IP Masca de subreţea Default Gate

Laborator - Configurarea Rutelor IPv4 Statice și Implicite Topologie Tabela de Adresare Echipame nt Interfață Adresă IP Masca de subreţea Default Gate Topologie Tabela de Adresare Echipame nt Interfață Adresă IP Masca de subreţea Default Gateway Obiective R1 G0/1 192.168.0.1 255.255.255.0 N/A S0/0/1 10.1.1.1 255.255.255.252 N/A R3 G0/1 192.168.1.1 255.255.255.0

Mai mult

1. Operatii cu matrici 1 Cerinte: Sa se realizeze functii pentru operatii cu matrici patratice (de dimensiune maxima 10x10). Operatiile cerute sunt: A

1. Operatii cu matrici 1 Cerinte: Sa se realizeze functii pentru operatii cu matrici patratice (de dimensiune maxima 10x10). Operatiile cerute sunt: A 1. Operatii cu matrici 1 Sa se realizeze functii pentru operatii cu matrici patratice (de dimensiune maxima 10x10). Operatiile cerute sunt: A+B (adunare), aa (inmultire cu scalar), A-B scadere), AT (Transpusa),

Mai mult

PROCESOARE NUMERICE DE SEMNAL

PROCESOARE NUMERICE DE SEMNAL 4.5 Unitatea centrală aritmetică şi logică CALU din TMS320C2x conţine un circuit de deplasare şi scalare pe 16 biţi, un multiplicator paralel pe 16 x 16 biţi, o ALU pe 32 de biţi, un acumulator pe 32 biţi

Mai mult

FIŞA DISCIPLINEI ANEXA nr. 3 la metodologie 1. Date despre program 1.1 Instituţia de învăţământ superior Universitatea Politehnica din Bucureşti 1.2 F

FIŞA DISCIPLINEI ANEXA nr. 3 la metodologie 1. Date despre program 1.1 Instituţia de învăţământ superior Universitatea Politehnica din Bucureşti 1.2 F FIŞA DISCIPLINEI ANEXA nr. 3 la metodologie 1. Date despre program 1.1 Instituţia de învăţământ superior Universitatea Politehnica din Bucureşti 1.2 Facultatea Automatică şi Calculatoare 1.3 Departamentul

Mai mult

FACULTATEA DE INGINERIE ELECTRICA ŞI ŞTIINŢA CALCULATOARELOR Contribuții privind implementarea în hardware a unui SOTR -rezumat- Coordonator științifi

FACULTATEA DE INGINERIE ELECTRICA ŞI ŞTIINŢA CALCULATOARELOR Contribuții privind implementarea în hardware a unui SOTR -rezumat- Coordonator științifi FACULTATEA DE INGINERIE ELECTRICA ŞI ŞTIINŢA CALCULATOARELOR Contribuții privind implementarea în hardware a unui SOTR -rezumat- Coordonator științific: Prof.univ.dr.ing. Vasile-Gheorghiță GĂITAN Doctorand:

Mai mult

1. ARHITECTURA MICROPROCESOARELOR 1.1. Microprocesorul. Noțiuni generale Progresele tehnologice și electronice, înregistrate în ultimele decenii, au d

1. ARHITECTURA MICROPROCESOARELOR 1.1. Microprocesorul. Noțiuni generale Progresele tehnologice și electronice, înregistrate în ultimele decenii, au d 1. ARHITECTURA MICROPROCESOARELOR 1.1. Microprocesorul. Noțiuni generale Progresele tehnologice și electronice, înregistrate în ultimele decenii, au determinat apariția microprocesoarelor care a reprezentat

Mai mult

Microsoft Word - Lucrarea_10_t.doc

Microsoft Word - Lucrarea_10_t.doc Lucrarea 0 Sinteza Dispozitivelor de Înmulţire în Radix Superior Lucrarea urmăreşte o abordare practică, din punctul de vedere al designerului hardware, a problematicii sintezei dispozitivelor hardware

Mai mult

Minicurs CCS C / Aplicatia1 1.Programul CCS C Compiler. Instalare.Creare proiect. Descarcati ultima versiune a programului de

Minicurs CCS C / Aplicatia1   1.Programul CCS C Compiler. Instalare.Creare proiect. Descarcati ultima versiune a programului de Minicurs CCS C / Aplicatia1 www.roboprog.weebly.com 1.Programul CCS C Compiler. Instalare.Creare proiect. Descarcati ultima versiune a programului de la adresa : http://www.ccsinfo.com/compdemo.php Instalati

Mai mult

Microsoft Word - Laboratorul 3.doc

Microsoft Word - Laboratorul 3.doc Laboratorul 3 Implementarea interfetelor cu mediul exterior Obiective Acest laborator isi propune sa prezinte modul de realizare a unor interfete cu mediul exterior astfel incat sa se poata trimite date

Mai mult

Lab6LCD

Lab6LCD LABORATOR 6 - Interfaţă pentru afişor cu cristale lichide (LCD), partea II-a Scopul lucrării În laboratorul anterior s-au implementat funcţiile rd_lcdreg(char vrs), wr_lcdreg(char vrs, char data), void

Mai mult

2

2 5 Packet Tracer Configurarea Switch-urilor și a Routerelor Topologia: Tabelul de adrese: Dispozitiv Interfața Adresa IP Subnet Mask Default Gateway R1 Fa0/0 192.168.1.1 255.255.255.0 Serial2/0 10.10.10.1

Mai mult

EXCEL FĂRĂ SECRETE Grafice şi diagrame

EXCEL FĂRĂ SECRETE Grafice şi diagrame EXCEL FĂRĂ SECRETE Grafice şi diagrame Cuprins 1. Introducere... 3 2. Crearea graficelor în Excel... 3 3. Mutarea şi copierea graficelor... 11 2 EXCEL FĂRĂ SECRETE- Grafice şi diagrame 1. Introducere Informaţiile

Mai mult

ALGORITMII ŞI REPREZENTAREA LOR Noţiunea de algoritm Noţiunea de algoritm este foarte veche. Ea a fost introdusă în secolele VIII-IX de către Abu Ja f

ALGORITMII ŞI REPREZENTAREA LOR Noţiunea de algoritm Noţiunea de algoritm este foarte veche. Ea a fost introdusă în secolele VIII-IX de către Abu Ja f ALGORITMII ŞI REPREZENTAREA LOR Noţiunea de algoritm Noţiunea de algoritm este foarte veche. Ea a fost introdusă în secolele VIII-IX de către Abu Ja far Mohammed ibn Musâ al- Khowârizmî în cartea sa intitulată

Mai mult

Lucrarea 10

Lucrarea 10 Lucrarea 10. Studierea facilitatilor senzoriale, de calcul si de comunicatie ale unei placi de tip Arduino 1. Obiectivul lucrarii Lucrarea isi propune sa prezinte facilitatile de calcul, senzoriale si

Mai mult

Slide 1

Slide 1 - Curs 2 - Verilog HDL reprezintă un limbaj utilizat pentru descrierea sistemelor numerice. Sistemele numerice pot fi calculatoare, componenete ale acestora sau alte structure care manipulează informaţie

Mai mult

Managementul Resurselor Umane

Managementul Resurselor Umane Universitatea Politehnica București Catedra de Calculatoare Laborator 4 Cereri SQL Autori Conf. Dr. Ing. Alexandru Boicea Ș.L. Dr. Ing. Ciprian-Octavian Truică Cuprins Cereri SQL Cereri simple 10.03.2019

Mai mult

Microsoft Word - Notiuni de arhitectura calculatoarelor.doc

Microsoft Word - Notiuni de arhitectura calculatoarelor.doc NOTIUNI DE ARHITECTURA CALCULATOARELOR Introducere In acest capitol se vor studia cateva notiuni legate de modul in care este realizat un calculator si cum este utilizat acesta in indeplinirea unor functii.

Mai mult

PowerPoint Presentation

PowerPoint Presentation Utilitarul Measurements and Automation Explorer 1 2 3 4 5 Traditional NI-DAQ Version 6.9.3 7.0 7.0.1 7.1 7.2 7.3 7.4 7.4.1 7.4.4 7.5 LabVIEW Version 5.0.1 5.1 5.1.2 6.0 6.1 7.0 7.1 8.0 8.2 8.5 8.6 2009

Mai mult

Redresoare comandate.doc

Redresoare comandate.doc 7..1. Redresor monofazat, in punte, complet comandat, cu sarcina rezistiva. Masurarea tensiunii de iesire functie de unghiul de comanda 1. Se realizeaza circuitul din figura 7..1. 2. Mutati comutatorul

Mai mult

-

- Cursul 6 Expresii în C/C++ (I) https://docs.microsoft.com/en-us/cpp/cpp/expressions-cpp După cum am văzut, într-un program de calcul scris în limbajul C/C++ prelucrarea datelor se realizează printr-o serie

Mai mult

PowerPoint Presentation

PowerPoint Presentation Circuite Integrate Digitale Conf. Monica Dascălu Curs Seminar Laborator notă separată Notare: 40% seminar 20% teme // + TEMA SUPLIMENTARA 40% examen 2014 CID - curs 1 2 Bibliografie Note de curs Cursul

Mai mult

Unitatea: Școala Gimnazială Disciplina: Informatică și TIC Programa școlară aprobată cu OMEN nr.3393 din Profesor: prof. Clasa: a V-a A, B

Unitatea: Școala Gimnazială Disciplina: Informatică și TIC Programa școlară aprobată cu OMEN nr.3393 din Profesor: prof. Clasa: a V-a A, B Unitatea: Școala Gimnazială Disciplina: Informatică și TIC Programa școlară aprobată cu OMEN nr.3393 din 28.02.2017 Profesor: prof. Clasa: a V-a A, B Nr. ore= 34 ore Nr. ore/săpt= 1 oră 2017-2018 PLANIFICARE

Mai mult

Gestionarea I/E

Gestionarea I/E Gestionarea I/E Apelurile de sistem I/O in Linux si apelurile API de I/E pentru Windows Herea Cristian 431 A 1. Linux Apeluri de sistem (system calls) Sistemele de operare au un nivel suplimentar de interfete

Mai mult

SSC-Impartire

SSC-Impartire Adunarea Înmulțirea Numere și operații în virgulă mobilă 1 Împărțirea cu refacerea restului parțial Împărțirea fără refacerea restului parțial 2 Primul operand: deîmpărțit (X) Al doilea operand: împărțitor

Mai mult

Operatorii in C Expresii Operatori aritmetici Operatori de asignare Operatori de incrementare si decrementare Operatori relationali Operatori logici O

Operatorii in C Expresii Operatori aritmetici Operatori de asignare Operatori de incrementare si decrementare Operatori relationali Operatori logici O Operatorii in C Expresii Operatori aritmetici Operatori de asignare Operatori de incrementare si decrementare Operatori relationali Operatori logici Operatii pe biti Operatorul conditional Operatori Logici

Mai mult

LABORATOR I

LABORATOR I 1. Scopul lucrării Lucrare de laborator nr. 10 Modelarea maşinilor cu stări finite în VHDL Însuşirea principiilor şi tehnicilor de modelare a circuitelor secvenţiale de tip maşină cu stări finite în VHDL.

Mai mult

Mnemonica

Mnemonica MODELUL PROGRAMATOR 1. Registrele Unitătii Centrale (CPU Registers) Unitatea centrală a unui microcontroler are 16 registre de 16 biti (R0..R15), din care R0, R1, R2 şi R3 au functii dedicate, iar R4 la

Mai mult

Tema 5

Tema 5 Tem 5 Etensini le integrlei Riemnn Modll 5. - Integrle definite, c prmetr. Integrle improprii. Integrle definite, c prmetr Stdil integrlelor definite c prmetr rel este intim legt de reprezentre integrlă

Mai mult

PowerPoint Presentation

PowerPoint Presentation Seminar 4 Multiversionarea Monitorizarea blocărilor SQL Server Profiler Interfață grafică pentru SQL Trace pentru monitorizarea unei instanțe Database Engine sau Analysis Services Evenimentele sunt salvate

Mai mult

VI. Achiziția datelor în LabVIEW

VI. Achiziția datelor în LabVIEW VI. Achiziția datelor în LabVIEW SUBIECTE A. Achiziția Datelor B. Measurement & Automatation Explorer (MAX) C. Driverul software, NI-DAQmx D. Placa de achiziție, NI USB 6008 A. Achiziția Datelor Subiecte:

Mai mult

Interfețe și Protocoale de Comunicații Arduino-Port Paralel Arduino. Laborator 1- Portul Paralel 1 Caracteristici generale Arduino UNO este o placă de

Interfețe și Protocoale de Comunicații Arduino-Port Paralel Arduino. Laborator 1- Portul Paralel 1 Caracteristici generale Arduino UNO este o placă de Arduino. Laborator 1- Portul Paralel 1 Caracteristici generale Arduino UNO este o placă de dezvoltare bazată pe un microcontroller ATmega 328P pe 8 biți cu 32kB memorie flash. Placa de dezvoltare conține:

Mai mult

Paradigme de programare

Paradigme de programare Curs 4 Transparență referențială. Legare statică / dinamică. Modelul contextual de evaluare. Transparență referențială Cuprins Efecte laterale Transparență referențială 2 Efecte laterale Efecte laterale

Mai mult

Proiect didactic

Proiect didactic Proiect didactic Titlul lecţiei: Rolul şi funcţiile unui sistem de operare Obiectul: Informatica si TIC Data: 11.10.2017 Timpul acordat : 50 min. Clasa: a-v-a Tipul lecţiei: Transmiterea de cunostinte

Mai mult

COLEGIUL TEHNIC „VICTOR UNGUREANU” CAMPIA TURZII

COLEGIUL TEHNIC „VICTOR UNGUREANU” CAMPIA TURZII Familia Microsoft Windows Server Familia Microsoft Windows Server Familia de sisteme de operare Windows 2003/2008 server oferă o gamă variată de servicii care poate acoperi majoritatea cerințelor în materie

Mai mult

Fâciu N. Maria-Ema CASA CORPULUI DIDACTIC BRĂILA PROGRAM DE FORMARE INFORMATICĂ ȘI TIC PENTRU GIMNAZIU CLASA A V-A SERIA 1 GRUPA 2 CURSANT: Fâciu N. M

Fâciu N. Maria-Ema CASA CORPULUI DIDACTIC BRĂILA PROGRAM DE FORMARE INFORMATICĂ ȘI TIC PENTRU GIMNAZIU CLASA A V-A SERIA 1 GRUPA 2 CURSANT: Fâciu N. M CASA CORPULUI DIDACTIC BRĂILA PROGRAM DE FORMARE INFORMATICĂ ȘI TIC PENTRU GIMNAZIU CLASA A V-A SERIA 1 GRUPA 2 CURSANT: PROIECTUL UNITĂŢII DE ÎNVĂŢARE ALGORITMI Notă: filmele didactice, dezbaterile, jocurile

Mai mult

PowerPoint-Präsentation

PowerPoint-Präsentation Universitatea Transilvania din Braşov Laboratorul de Vedere Artificială Robustă şi Control Sisteme cu MicroProcesoare Curs 3 Tiberiu Teodor COCIAȘ 1 Cuprins Aplicație 2 Ce este o întrerupere? 3 Definiție:

Mai mult

Caraivan George-Alexandru Grupa 431A Interfața driver-kernel la Linux Introducere Deși pentru unii dintre noi acest lucru poate fi o supriză, cei mai

Caraivan George-Alexandru Grupa 431A Interfața driver-kernel la Linux Introducere Deși pentru unii dintre noi acest lucru poate fi o supriză, cei mai Caraivan George-Alexandru Grupa 431A Interfața driver-kernel la Linux Introducere Deși pentru unii dintre noi acest lucru poate fi o supriză, cei mai specializați dintre noi în domeniul calculatoarelor

Mai mult

PowerPoint-Präsentation

PowerPoint-Präsentation Unverstatea Translvana n Braşov Laboratorl e Veere Artcală Robstă ş Control Metoe Nmerce Crs 7 ntegrarea nmercă Ggel Măceșan Cprns ntrocere Metoa trapezl ș eroarea e trncere Metoa l Rcarson Metoa l Smpson

Mai mult

LABORATOR 2

LABORATOR 2 LABORATOR Reprezentarea Numerelor Sisteme de Calcul Cuprins Sisteme de calcul, componenta hardware și software; Funcționarea unității de procesare; Reprezentarea informației; Reprezentarea numerelor în

Mai mult

Laborator - Folosirea Wireshark-ului pentru Examinarea Frameurilor Ethernet Topologie Obiective Partea 1: Examinați Câmpurile Header-ului dintr-un Fra

Laborator - Folosirea Wireshark-ului pentru Examinarea Frameurilor Ethernet Topologie Obiective Partea 1: Examinați Câmpurile Header-ului dintr-un Fra Laborator - Folosirea Wireshark-ului pentru Examinarea Frameurilor Ethernet Topologie Obiective Partea 1: Examinați Câmpurile Header-ului dintr-un Frame Ethernet II Partea 2: Folosiți Wireshark pentru

Mai mult

Kein Folientitel

Kein Folientitel S.C East Electric S.R.L distribuitor Balluff în Romania. Balluff producător de senzori, şi traductoare, cu peste 40 ani de experienţă Firma a fost creată în 1921, experienţa în domeniul senzorilor începând

Mai mult

INFORMATICĂ ŞI MARKETING

INFORMATICĂ ŞI MARKETING CUPRINS CAPITOLUL 1... 7 UTILIZAREA CONCEPTELOR ŞI INSTRUMENTELOR TEHNOLOGIILOR INFORMAŢIEI ŞI COMUNICAŢIEI ÎN ACTIVITATEA DE MARKETING... 7 1.1 IMPACTUL TEHNOLOGIILOR INFORMAŢIEI ŞI COMUNICAŢIEI ASUPRA

Mai mult

SCD-Procesoare-1

SCD-Procesoare-1 Cuprins 1. Introducere 2. Modele și limbaje pentru specificația sistemelor 3. Interfețe de comunicație 4. Periferice pentru sisteme dedicate 5. Microcontrolere 6. Procesoare dedicate 7. Dezvoltarea programelor

Mai mult

PowerPoint-Präsentation

PowerPoint-Präsentation Universitatea Transilvania din Braşov Laboratorul de Vedere Artificială Robustă şi Control Sisteme cu MicroProcesoare Curs 4 Module de tip timer Tiberiu Teodor COCIAȘ 1 Cuprins Module de tip timer Accesarea

Mai mult

E_d_Informatica_sp_SN_2014_bar_10_LRO

E_d_Informatica_sp_SN_2014_bar_10_LRO Examenul de bacalaureat naţional 2014 Proba E. d) Informatică Varianta 10 Toate subiectele sunt obligatorii. Se acordă 10 puncte din oficiu. Timpul de lucru efectiv este de 3 ore. În rezolvările cerute,

Mai mult

Laborator Depanarea Rutării Inter-VLAN Topologie 2013 Cisco and/or its affiliates. All rights reserved. This document is Cisco Public. Page 1 of 8

Laborator Depanarea Rutării Inter-VLAN Topologie 2013 Cisco and/or its affiliates. All rights reserved. This document is Cisco Public. Page 1 of 8 Laborator Depanarea Rutării Inter-VLAN Topologie 2013 Cisco and/or its affiliates. All rights reserved. This document is Cisco Public. Page 1 of 8 Tabela de Adresare Echipament Interfață Adresă IP Masca

Mai mult

Circuite Integrate Analogice Celule fundamentale Surse de curent integrate Facultatea de Electronică Telecomunicații și Tehnologia Informației Doris C

Circuite Integrate Analogice Celule fundamentale Surse de curent integrate Facultatea de Electronică Telecomunicații și Tehnologia Informației Doris C Circuite Integrate Analogice Celule fundamentale Surse de curent integrate Facultatea de Electronică Telecomunicații și Tehnologia Informației Doris Csipkes Departamentul de Bazele Electronicii Din conținut...

Mai mult

Carrier Pidgeon Protocol

Carrier Pidgeon Protocol Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Proiectarea Rețelelor 17. Protocolul OSPF pentru arii multiple Advanced OSPF Proiectarea rețelelor Cuprins OSPF în detaliu

Mai mult

1

1 1. Funcţionarea echipamentelor într-o reţea locală Placa de reţea (NIC-Network Interface Card) este o placă cu circuite integrate poate fi preinstalată în calculator sau se montează într-un slot de extensie

Mai mult

PowerPoint Presentation

PowerPoint Presentation CURS 2 Planificarea Tranzacţiilor Gestionarea Concurenţei Planificarea tranzacţiilor O planificare reprezintă ordonarea secvenţială a instrucţiunilor (Read / Write / Abort / Commit) a n tranzacţii astfel

Mai mult

Microsoft Word - cap2.2.UNIX-NOS.doc

Microsoft Word - cap2.2.UNIX-NOS.doc 2.2. UNIX-NOS Conf. Dr. Carmen Timofte NOS= Network Operating System sistem de operare de reţea 1. Conectarea la un server de Unix situat la distanţa (în reţea) 2. Cum se conecteaza la reţea? 3. Ce trebuie

Mai mult

REDRESOARE – simulare PSPICE

REDRESOARE – simulare PSPICE REDRESOARE simulare PSPICE 1A. Redresor monoalternanţă, sarcină rezistivă Schema utilizată în simulare este prezentată în figura 1. IN N47 Figura 1. Se lansează în execuţie Capture. Se dă secvenţa: File>New>Project

Mai mult

Carrier Pidgeon Protocol

Carrier Pidgeon Protocol Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Proiectarea Rețelelor 12. Interconectarea retelelor IPv6 peste o infrastructura IPv4 Configurații de bază IPv6 și RIPng

Mai mult

PowerPoint Presentation

PowerPoint Presentation Interogări distribuite SELECT AVG(E.age) FROM Employees E WHERE E.salary > 3000 AND E.salary < 7000 Fragmentare orizontală: Înregistrările cu salary < 5000 la Shanghai şi salary >= 5000 la Tokyo. Se calculează

Mai mult

Microsoft PowerPoint - E-learning_USO-22.ppt [Compatibility Mode]

Microsoft PowerPoint - E-learning_USO-22.ppt [Compatibility Mode] Platformăde e-learning și curriculăe-content pentru Utilizarea Sistemelor de Operare 22. Analiza hardware a sistemului Comenzi utile Linux razvan@anaconda:~$ lspci 00:02.0 VGA compatible controller: Intel

Mai mult

Înregistrator de temperatură şi umiditate AX-DT100 Instrucţiuni de utilizare

Înregistrator de temperatură şi umiditate AX-DT100 Instrucţiuni de utilizare Înregistrator de temperatură şi umiditate AX-DT100 Instrucţiuni de utilizare Introducere Înregistratorul de temperatură şi umiditate este prevăzut cu un senzor foarte exact de temperatură şi umiditate.

Mai mult

L4. TEOREMELE ALGEBREI BINARE. FUNCȚII LOGICE ELEMENTARE. OPERAȚII LOGICE PE BIT. SINTEZA FUNCȚIILOR LOGICE DIN TABELE DE ADEVĂR 1. Obiective Prin par

L4. TEOREMELE ALGEBREI BINARE. FUNCȚII LOGICE ELEMENTARE. OPERAȚII LOGICE PE BIT. SINTEZA FUNCȚIILOR LOGICE DIN TABELE DE ADEVĂR 1. Obiective Prin par L4. TEOREMELE LGEBREI BINRE. FUNCȚII LOGICE ELEMENTRE. OPERȚII LOGICE PE BIT. SINTEZ FUNCȚIILOR LOGICE DIN TBELE DE DEVĂR 1. Obiective Prin parcurgerea acestei ședințe de laborator studenții vor fi capabili:

Mai mult

1. a. Să se scrie un algoritm care să afişeze toate numerele de patru cifre care au cifra sutelor egală cu o valoare dată k, şi cifra zecilor cu 2 mai

1. a. Să se scrie un algoritm care să afişeze toate numerele de patru cifre care au cifra sutelor egală cu o valoare dată k, şi cifra zecilor cu 2 mai 1. a. Să se scrie un algoritm care să afişeze toate numerele de patru cifre care au cifra sutelor egală cu o valoare dată k, şi cifra zecilor cu 2 mai mare decât cifra sutelor. b. Se consideră algoritmul

Mai mult

PowerPoint Presentation

PowerPoint Presentation 6 9 7 0 8 Căutarea și analizarea documentelor care au fost publicate în reviste cotate ISI, conferințe și cărți Căutarea și analizarea revistelor cotate ISI Journal Citation reports Căutarea celor mai

Mai mult

Controlerul LCD

Controlerul LCD Controlerul LCD Controlerul LCD poate comanda direct un afisor de tip tip LCD, generand in mod automat tensiunile de comanda necesare pentru segmente (notate SEG, SP sau S) si electrozii comuni (notati

Mai mult

MergedFile

MergedFile PROIECT DIDACTIC Clasa a V-a Informatică și T.I.C. Proiect didactic realizat de Anișoara Apostu, profesor Digitaliada, revizuit de Radu Tăbîrcă, inspector școlar Informatică Textul și ilustrațiile din

Mai mult

PPSD

PPSD Modele paralele SPMD Modelul SPMD Comunicarea prin mesaje Message Passing Interface Modelul SPMD Modelul SPMD (Single Program Multiple Data) Acesta este un model adecvat calculatoarelor MIMD In cele ce

Mai mult

Object Oriented Programming

Object Oriented Programming UNIVERSITATEA BABEŞ-BOLYAI Facultatea de Matematică şi Informatică Programare orientată obiect Curs 02 Laura Dioşan 2 POO Elemente de bază ale limbajului C++ Referinţe şi pointeri Vectori TAD-uri 3 Tipul

Mai mult

Microsoft PowerPoint - TDRC_II-03-Ethernet.ppt

Microsoft PowerPoint - TDRC_II-03-Ethernet.ppt Curs 3 Introducere Tehnologii Fast Ethernet şi Gigabit Ethernet Fibra optica High Speed Wireless LAN Ethernet Corneliu Zaharia 2 Corneliu Zaharia De ce High Speed LAN? LAN uzuale folosesc pentru conectivitate

Mai mult

Packet Tracer - Configurarea ACL-urilor extinse - Scenariul 1 Topologie Tabela de Adresare R1 Echipament Interfață Adresă IP Masca de subreţea Default

Packet Tracer - Configurarea ACL-urilor extinse - Scenariul 1 Topologie Tabela de Adresare R1 Echipament Interfață Adresă IP Masca de subreţea Default Topologie Tabela de Adresare R1 Echipament Interfață Adresă IP Masca de subreţea Default Gateway G0/0 172.22.34.65 255.255.255.224 N/A G0/1 172.22.34.97 255.255.255.240 N/A G0/2 172.22.34.1 255.255.255.192

Mai mult

Lucrarea nr. 2 Aplicaţii de tip client Mihai IVANOVICI 6 martie 2006 Scopul acestei lucrări este de a vă familiariza cu modulul Python socket şi cu mo

Lucrarea nr. 2 Aplicaţii de tip client Mihai IVANOVICI 6 martie 2006 Scopul acestei lucrări este de a vă familiariza cu modulul Python socket şi cu mo Lucrarea nr. 2 Aplicaţii de tip client Mihai IVANOVICI 6 martie 2006 Scopul acestei lucrări este de a vă familiariza cu modulul Python socket şi cu modul de implementare al unei aplicaţii de tip client.

Mai mult

Microsoft Word - CV Opriţoiu Flavius.doc

Microsoft Word - CV Opriţoiu Flavius.doc CURRICULUM VITAE Nume: OPRIŢOIU Prenume: FLAVIUS-GABRIEL Data naşterii: Locul naşterii: oraş Ineu, jud. Arad Naţionalitatea: Română Domiciliu: jud. Arad Telefon: Email: I Studii medii 1998-2002 Studii

Mai mult

Sisteme de calcul în timp real

Sisteme de calcul în timp real Laboratorul nr. 6 Concluzii, recapitulare, recuperare, încheierea situației pentru laborator http://epe.utcluj.ro/index.php/sisteme-de-calcul-in-timp-real/ Ing. mast.: Pintilie Lucian Nicolae E-mail: Lucian.Pintilie@emd.utcluj.ro

Mai mult

Microsoft Word - Prelegere 1 - Bratu C. - Microcontrolerul.doc

Microsoft Word - Prelegere 1 - Bratu C. - Microcontrolerul.doc ARHITECTURA UNOR MICROCONTROLERE DIN CATEGORIA PIC. TEHNICI DE ADRESARE A MEMORIEI. STRATEGII DE INTRARE-IEIRE 1. Microcontroler contra Microprocesor Microprocesorul, este unitatea central de prelucrare

Mai mult

Example Title with Registration Microsoft® and Trademark SQL ServerTM

Example Title with Registration Microsoft® and Trademark  SQL ServerTM Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Securizarea rețelelor folosind sisteme dedicate 32. Soluții de redundanță pe dispozitive dedicate High Availability 9

Mai mult

Laborator 7: PROIECTAREA BAZELOR DE DATE SUBPROGRAME in PL/SQL (partea I - proceduri) Un subprogram este un bloc PL/SQL cu nume (spre deosebire de blo

Laborator 7: PROIECTAREA BAZELOR DE DATE SUBPROGRAME in PL/SQL (partea I - proceduri) Un subprogram este un bloc PL/SQL cu nume (spre deosebire de blo Laborator 7: PROIECTAREA BAZELOR DE DATE SUBPROGRAME in PL/SQL (partea I - proceduri) Un subprogram este un bloc PL/SQL cu nume (spre deosebire de blocurile anonime) care poate primi parametri şi poate

Mai mult

Utilizare Internet

Utilizare Internet Curs 14 2014/2015 1 Functionalitate La toate temele 1p din nota este obtinut de indeplinirea functionalitatii cerute. orice tehnologie, orice metoda, sa faca ceea ce trebuie Forma paginii prezinta importanta

Mai mult

Programarea şi utilizarea calculatoarelor

Programarea şi utilizarea calculatoarelor Universitatea Constantin Brâncuşi din Târgu-Jiu Facultatea de Inginerie Departamentul de Automatică, Energie şi Mediu Programarea calculatoarelor Lect.dr. Adrian Runceanu Curs 3 Elemente introductive ale

Mai mult

Addendum Syllabus 6 Microsoft Word 2016 REF Syllabus 6.0 Crearea unui document nou pe baza unor șabloane disponibile local sau online Microsoft

Addendum Syllabus 6 Microsoft Word 2016 REF Syllabus 6.0 Crearea unui document nou pe baza unor șabloane disponibile local sau online Microsoft REF 1.1.2 Syllabus 6.0 Crearea unui document nou pe baza unor șabloane disponibile local sau online Microsoft Word vă permite să creați documente cu un aspect profesional folosind șabloanele existente.

Mai mult

Ch

Ch Numai pentru uz academic EDK Laborator 4-5 Adăugarea modulelor IP la un proiect hardware Laborator 2: Adăugarea modulelor IP la un proiect hardware Introducere Obiective Acest laborator prezintă procesul

Mai mult

Microsoft Word - Case de marcat si Imprimante fiscale cu jurnal electronic.docx

Microsoft Word - Case de marcat si Imprimante fiscale cu jurnal electronic.docx CASE DE MARCAT si IMPRIMANTE FISCALE cu JURNAL ELECTRONIC Casa de marcat Datecs WP50 Casa de marcat ce poate fi folosita portabil sau stationar, model 2018. 3 porturi mini USB pt. conectare la PC, sertar

Mai mult

III. Tablouri (Arrays) și Clustere

III. Tablouri (Arrays) și Clustere III. Tablouri (Arrays) și Clustere Sometimes it is beneficial to group data related to one another. Use arrays and clusters to group related data in LabVIEW. Arrays combine data of the same data type into

Mai mult

MINISTERUL EDUCAŢIEI NAȚIONALE UNIVERSITATEA TRANSILVANIA DIN BRAŞOV BRAŞOV, EROILOR NR. 29, , TEL , FAX Univers

MINISTERUL EDUCAŢIEI NAȚIONALE UNIVERSITATEA TRANSILVANIA DIN BRAŞOV BRAŞOV, EROILOR NR. 29, , TEL , FAX Univers MINISTERUL EDUCAŢIEI NAȚIONALE UNIVERSITATEA TRANSILVANIA DIN BRAŞOV BRAŞOV, EROILOR NR. 29, 500036, TEL. 0040-268-413000, FAX 0040-268-410525 Universitatea Transilvania din Brasov Școala Doctorală Interdisciplinară

Mai mult

Microsoft Word - Programarea Portului Paralel - IBM-PC. .doc

Microsoft Word - Programarea Portului Paralel - IBM-PC. .doc Lucrarea 1. Programarea Portului Paralel - IBM-PC. 1. Introducere. Lucrea are ca scop familiarizarea cu portul paralel al PC-ului, descriindu-se structura portului parelel, configuraţia acestuia, regimurile

Mai mult

Microsoft PowerPoint - ImplementareLimbaj [Read-Only] [Compatibility Mode]

Microsoft PowerPoint - ImplementareLimbaj [Read-Only] [Compatibility Mode] Detalii de implementare. Declararea variabilelor, transmiterea parametrilor catre subprograme. Declararea variabilelor variabile globale -declarate in afara oricarei functii variabile locale -declarate

Mai mult

Guardian2012_RO3

Guardian2012_RO3 GUARDIAN Terminalul inteligent pentru control acces De mai mulți ani, Zucchetti, principalul jucător pe piața IT din Italia, și-a concentrat atenția asupra analizării și rezolvării problemelor referitoare

Mai mult

Microsoft Word - 2 ES RO.doc

Microsoft Word - 2 ES RO.doc 2 MULTITASKING 2.1. Preliminarii Este deja foarte bine cunoscut faptul că o programare eficientă în domeniul sistemelor în timp real, în mod evident, vizând aici sistemele în timp real încorporate, este

Mai mult

Manual de utilizare Set volan și pedale MG7402

Manual de utilizare Set volan și pedale MG7402 Manual de utilizare Set volan și pedale MG7402 RO MG7402 - Manual de utilizare I. Introducere Vă mulțumim pentru achiziționarea acestui volan de curse realizat pentru console PC. Forma acestuia este asemănătoare

Mai mult