Mnemonica

Mărimea: px
Porniți afișarea la pagina:

Download "Mnemonica"

Transcriere

1 MODELUL PROGRAMATOR 1. Registrele Unitătii Centrale (CPU Registers) Unitatea centrală a unui microcontroler are 16 registre de 16 biti (R0..R15), din care R0, R1, R2 şi R3 au functii dedicate, iar R4 la R15 sunt registre de lucru de uz general (de lucru working registers). O prezentare schematică a masivului de registre este făcută în figurile următoare. La nivelul CPU registrele sunt interconectate şi respectiv conectate cu ALU (unitatea aritmetică şi logică) prin intermediul unei magistrale de date MDB (Memory Data Bus) şi a unei magistrale de adrese MAB (Memory Address Bus), ambele de 16 biti. Tot prin intermediul acestor magistrale masivul de registre este mapat (plasat) în spaţiul de memorie de 64K. OBSERVATII 1. Sintaxa generală a unei instrucţiuni cu doi operanzi este: Mnemonica_instructiune Operand_sursa, Operand_destinatie 1

2 2. Extensiile utilizate pentru mnemonica instrucţiunii sunt:.b pentru operatii pe octet-byte şi respectiv.w pentru operatii pe cuvant-word. Dacă extensia lipseste, implicit este vorba de o operaţie pe cuvant. Registrele cu functii dedicate sunt următoarele: a. Numărătorul program (Program Counter) PC/R0 PC/R0 indică următoarea instrucţiune (adresa codului ei) care va fi executată. Deoarece instrucţiunile utilizează un număr par de octeti (bytes)- 2, 4 sau 6, şi el va fi incrementat corespunzător cu 2, 4 sau 6. Accesul la codul instrucţiunilor în spatiul de program de 64K se face la nivel de cuvant (2 octeti), astfel ca PC/R0 va contine numai valori (adrese) pare, cu bitul 0 avand întotdeauna valoarea 0. PC/R0 poate fi adresat de toate instrucţiunile, în toate modurile de adresare. Cateva exemple ar fi: MOV #LABEL,PC ; ramificare(salt) la adresa LABEL MOV LABEL,PC ; ramificare la adresa continuta in LABEL ; ramificare indirecta, cu registrul R14 b. Indicatorul de stivă (Stack Pointer)-SP/R1 Indicatorul de stivă SP/R1 este utilizat de unitatea centrală pentru a memora adresa de întoarcere în cazul apelului de subrutine sau al întreruperilor. Schema de stivă utilizată este de pre decrementare şi post incrementare. El poate fi însă utilizat de toate instrucţiunile şi în toate modurile de adresare, deoarece stiva mai poate fi folosită şi pentru a salva un obiect în stivă sau pentru a restaura valoarea unui obiect din stivă. Valoarea sa trebuie initializată de utilizator într-un spatiu de memorie de tip RAM şi este aliniată numai la adrese pare. MOV 2(SP),R6 ; Item I2 > R6 MOV R7,0(SP) ; Suprascrie TOS cu R7 PUSH #0123h ; Salveaza constanta 0123h in TOS POP R8 ; Restaureaza TOS in R8 = 0123h *TOS-Top Of Stack este notatia pentru varful stivei O situatie specială apare atunci cand se salvează/restaurează în/din stiva chiar SP-ul. 2

3 Astfel, indicatorul de stivă este modificat dupa executia instrucţiunii PUSH SP. Indicatorul de stivă nu este modificat după executia instrucţiunii POP SP deoarece instrucţiunea aduce valoarea SP1 în SP, deci SP1=SP2. c. Registrul de stare (Status Register)-SR/R2 Registrul de stare SR/R2 poate fi folosit doar în modul de adresare registru, cu instrucţiuni de tip cuvânt (word), atat ca operand destinatie cat şi ca operand sursă. Restul modurilor de adresare disponibile sunt folosite ca suport pentru generatorul de constante, registrul R2 putand fi folosit şi pentru generarea de constante (ca CG1). Bitii sunt rezervaţi pentru dezvoltări ulterioare. V- Bit depăşire (overflow); este setat în cazul în care apare o depăşire la o operatie aritmetică folosind reprezentarea cu semn. În cazul operaţiilor de adunare ADD(.B),ADDC(.B) este setat cand avem: Pozitiv + Pozitiv = Negativ Negativ + Negativ = Pozitiv În cazul operaţiilor de scădere SUB(.B),SUBC(.B),CMP(.B) este setat cand avem: Pozitiv Negativ = Negativ Negativ Pozitiv = Pozitiv SCG1 - System Clock Generator1; cand este setat opreste ceasul SMCLK SCG0 - System Clock Generator0; cand este setat opreste generatorul de cc DCO, dacă DCOCLK nu este folosit pentru MCLK sau SMCLK. OSCOFF - Oscillator Off; cand este setat opreste oscilatorul LFXT1 (stabilizat cu cuart), dacă LFT1CLK nu este folosit pentru MCLK sau SMCLK. 3

4 CPUOFF- cand este setat opreste unitatea centrală (CPU) GIE- General Interrupt Enable-activare globală a întreruperilor; cand este setat activează tratarea tuturor întreruperilor mascabile; dupa reset el este 0, astfel că toate întreruperile mascabile sunt dezactivate N- Negative; este setat atunci cand rezultatul unei operaţii pe octet sau cuvant este negativ şi este resetat în caz contrar; Z-Zero; este setat atunci cand rezultatul unei operaţii pe octet sau cuvant este 0, resetat în caz contrar; în cazul unei instrucţiuni pe cuvant, bitul 15 (de semn) al rezultatului este copiat în N, iar în cazul unei operaţii pe octet, bitul 7 este copiat în N. C-Carry - transport; este setat atunci cand rezultatul unei operaţii pe octet sau cuvant produce un transport (sau un imprumut), resetat în caz contrar. d. Registrele generatorului de constante CG1/R2 şi CG2/R3 Setul de instrucţiuni de tip RISC al unităţii centrale are doar 27 de instrucţiuni de bază. Cu ajutorul generatorului de constante sunt implementate alte 24 de instrucţiuni suplimentare, zise instrucţiuni emulate. Asamblorul utilizează în mod automat generatorul de constante, atunci cand una din constantele dintr-un set de 6 constante uzuale, apare ca un operand sursă, în mod imediat. Registrele R2 şi R3 folosite în acest mod de lucru nu pot fi adresate explicit, ele actionand doar ca registre sursă implicite. Cele 6 constante asociate cu registrele R2 şi R2 impreună cu modurile de adresare pentru registrul sursă (As-Adresa sursa) sunt prezentate în tabela următoare: Registru As Constanta Observatii R Mod registru R Mod adresă absoluta R h +4, folosita la operatii de prelucrare bit R h +8, folosita la operatii de prelucrare bit R h Prelucrare cuvant R h +1, folosita pentru incrementare la operatii pe octet R h +2, folosita pentru incrementare la operatii pe cuvant R3 11 0FFFFh -1, prelucrare cuvant Cu alte cuvinte setul de constante este: -1, 0, 1, 2, 4, 8. Avantajele utilizării generatorului de constante ar fi: - nu sunt necesare instrucţiuni speciale suplimentare - nu se utilizează memorie de program pentru cele 6 constante - nu se accesează memoria de program pentru a prelua constanta Dacă luăm ca exemplu instrucţiunea cu un singur operand care sterge (aduce în 0) operandul destinaţie CLR dst, ea va fi emulată de instrucţiunea cu doi operanzi, cu aceiaşi lungime, MOV R3,dst sau echivalentul MOV #0,dst. În acest caz constanta imediată #0 este înlocuită de asamblor şi R3 va fi utilizat cu modul As=00. O situaţie asemănătoare se întalneste la instrucţiunea care incrementează operandul destinaţie INC.B dst, care va fi emulată de o instrucţiune ADD 0(R3),dst folosind registrul R3 cu modul de adresare As=01, constanta imediată fiind #1. 4

5 e. Registrele de uz general R4..R15 Cele 12 registre de uz general sunt R4..R15. Toate aceste registre pot fi folosite ca registre pentru date, ca indicatori (pointeri) de adresă sau ca valori de index. Ele pot fi accesate cu instrucţiuni de prelucrare pe octet şi respectiv pe cuvant. Vom prezenta ca exemplu cazul a două instrucţiuni pe octet (byte,.b). În primul exemplu avem instrucţiunea ADD.B R5,0(R6) Octet inferior în registru + Octet adresat în memorie -> Octet adresat în memorie Valorile iniţiale sunt R5 = 0A28Fh, R6 = 0203h şi conţinutul adresei de memorie Mem(0203h) = 012h. Operaţia realizată va fi: 08Fh + 012h= 0A1h, cu indicatori de condiţie rezultaţi C = 0, Z = 0, N = 1. În al doilea exemplu avem instrucţiunea Octet adresat în memorie + Octet inferior în registru -> Octet inferior în registru, Octetul superior =0 Valorile iniţiale sunt R5 = 01203Fh, R6 = 0223h şi continutul adresei de memorie Mem(0223h) = 05Fh. Operaţia realizată va fi 05Fh + 02h =061h, cu indicatori de condiţie rezultaţi C = 0, Z = 0, N = Modurile de adresare ale operanzilor sursă şi destinaţie Există 7 moduri de adresare pentru operandul sursă şi 4 moduri de adresare pentru operandul destinaţie. În oricare din acestea se poate adresa (accesa) întreg spaţiul de adrese de memorie, fără nici o excepţie. În tabelul următor sunt prezentate sintetic aceste moduri impreună cu valorile de bit care codifică modul pentru operandul sursă (As-Adresa sursa) şi respectiv destinaţie (Ad Adresa destinaţie). As/Ad Mod adresare Sintaxa Descriere 00/0 Mod registru Rn Operandul este continutul registrului 01/1 Mod indexat X(Rn) (Rn+X) este adresa operandului; X este memorat în următorul cuvant 01/1 Mod simbolic ADR (PC+X) este adresa operandului; X este memorat în următorul cuvant. Se utilizează de fapt modul indexat X(PC) 01/1 Mod absolut &ADR Cuvantul care urmează contine adresa absolută ADR. Se utilizează de fapt modul indexat X(SR/CG1), unde X=ADR 10/- Mod registru Registrul contine adresa operandului 11/- Mod indirect cu Registrul contine adresa operandului; după executia instrucţiunii registrul este incrementat cu 1 pentru instrucţiuni pe octet(.b) şi cu 2 pentru instrucţiuni pe cuvant(.w) 11/- Mod imediat #N Cuvantul care urmează contine constanta imediata N; se utilizează de fapt OBSERVATIE 1. Etichetele ETI1 şi ETI2 vor fi folosite în continuare ca etichete generice. 2. Exemplificarea modurilor de adresare se va face folosind de cele mai multe ori acelaşi mod de adresare pentru ambii operanzi. 5

6 a. Modul Registru În acest mod de adresare operandul este chiar conţinutul registrului. Poate fi folosit atat pentru operandul sursă cat şi pentru cel destinatie. Conţinutul registrului poate fi accesat cu instrucţiuni pe octet(.b) cat şi cu instrucţiuni pe cuvant(.w). Dacă se utilizează instrucţiuni pe octet, octetul superior al rezultatului va fi întotdeauna 0. Indicatorii de conditie vor fi actualizaţi conform rezultatului. Lungimea instrucţiunii este de 1 sau 2 cuvinte. Exemplu: MOV R10,R11 o instrucţiune pe cuvant, care copiază conţinutul lui R10 în R11, conţinutul lui R10 nefiind afectat. b. Modul indexat În acest mod de adresare adresa operandului este dată de suma între conţinutul registrului şi un index. Poate fi folosit atat pentru operandul sursă cat şi pentru cel destinatie. Lungimea instrucţiunii este de 2 sau 3 cuvinte Exemplu: MOV 2(R5),6(R6)este o instrucţiune pe cuvant de forma MOV X(R5),Y(R6)cu cele două valori de index X=2 pentru sursa şi Y=6 pentru destinaţie. Ea copiază conţinutul adresei R5+2 în adresa destinatie R6+6. Continutul celor două registre nu este afectat. Este o instrucţiune pe 3 cuvinte (presupus memorată de la adresa 0FF12h-vezi figura). 6

7 c. Modul simbolic În acest mod de adresare adresa operandului este dată de suma între conţinutul numărătorului program PC şi un index. Poate fi folosit atat pentru operandul sursă cat şi pentru cel destinatie. Lungimea instrucţiunii este de 2 sau 3 cuvinte. Acest mod de adresare este implementat de fapt ca un mod indexat cu registrul R0(PC). Exemplu: MOV ETI1,ETI2 este de fapt memorată ca o instrucţiune MOV X(PC),Y(PC) cu X=ETI1-PC şi Y=ETI2-PC. Ea va copia continutul adresei sursă dată de ETI1 (PC+X) în adresa destinatie dată de ETI2 (PC+Y). Cuvintele care urmează codului instrucţiunii contin diferenta între PC şi adresa sursei şi a destinatiei. Asamblorul va calcula şi insera în mod automat aceste valori. În figura urmatoare este ilustrată situatia în care ETI1= 0F016h şi ETI2=01114h. Este o instrucţiune pe 3 cuvinte (presupus memorată de la adresa 0FF12h) d. Modul absolut În acest mod de adresare adresa operandului este dată de suma între constanta 0 şi valoarea absolută a unei adrese de (în) memorie. Poate fi folosit atat pentru operandul sursă cat şi pentru cel destinatie. Lungimea instrucţiunii este de 2 sau 3 cuvinte. Acest mod de adresare este implementat de fapt ca un mod indexat cu continutul registrului R2/SR/CG1 egal cu 0 De exemplu, instrucţiunea MOV &ETI1,&ETI2 este memorată ca o instrucţiune MOV X(0),Y(0)unde X=ETI1 şi Y=ETI2. Instrucţiunea copiază continutul adresei data de ETI1 în adresa data de ETI2. Cuvintele care urmează codului instrucţiunii contin adresele absolute (în memorie) ale sursei şi destinatiei. În figura următoare este ilustrată executia acestei instrucţiuni, cu ETI1= 0F016h şi ETI2=01114h. Este o instrucţiune pe 3 cuvinte (presupus memorată de la adresa 0FF12h) 7

8 e. Modul registru indirect În acest mod de adresare continutul registrului este chiar adresa operandului. Este un mod de adresare valid doar pentru operandul sursă. Lungimea instrucţiunii este de 1 sau 2 cuvinte. Pentru operandul destinatie se poate utiliza modul indexat, cu un index nul 0(Rn). Exemplu: care copiază continutul adresei sursei (dată de R10), în adresa destinatie dată de suma 0+R11. În figura următoare este ilustrată executia acestei instrucţiuni, instrucţiune pe 2 cuvinte (presupus memorată de la adresa 0FF12h). 8

9 f. Modul indirect cu autoincrementare În acest mod, similar modului registru indirect, registrul va contine adresa operandului dar, după executia instrucţiunii, registrul este incrementat cu 1 pentru instrucţiuni pe octet(.b) şi cu 2 pentru instrucţiuni pe cuvant(.w). Este un mod de adresare valid doar pentru operandul sursă. Lungimea instrucţiunii este de 1 sau 2 cuvinte. Pentru operandul destinaţie se poate utiliza modul indexat cu un index nul 0(Rn), urmat însă de o instrucţiunea suplimentara INC Rn. Exemplu: este o instrucţiune pe cuvant care copiază continutul adresei sursei (dată de R10), în adresa destinatie dată de suma 0+R11 şi apoi incrementeaza cu 2 valoarea lui R10. În figura următoare este ilustrată executia acestei instrucţiuni, instrucţiune pe 2 cuvinte (presupus memorată de la adresa 0FF12h) Este un mod util la prelucrarea tabelelor, următorul element din tabelă fiind adresat fără nici o instrucţiune suplimentară. g. Modul imediat În acest mod, operandul, de natura unei constante, este memorat în corpul instrucţiunii, imediat după cuvantul opcod. Este un mod de adresare valid doar pentru operandul sursă. Lungimea instrucţiunii este de 2 sau 3 cuvinte, dar poate avea cu un cuvant mai putin, dacă se utilizează generatorul de constante (constanta este una din cele 6 generate de CG1 şi CG2). Este implementat printr-un mod registru indirect cu autoincrementare, folosind contorul Exemplu: MOV #45h,ETI2 o instrucţiune care este implementată (memorată) ca 9

10 X=ETI2-PC şi copiază constanta imediată #45h în adresa de destinatie dată de ETI2. În figura următoare este ilustrată execuţia acestei instrucţiuni, instrucţiune pe 3 cuvinte (presupus memorată de la adresa 0FF12h), pentru ETI2=010A8h. 3. Setul de instrucţiuni Setul complet de instrucţiuni constă din 27 de instrucţiuni zise de bază (core instructions) şi 24 de instrucţiuni emulate. Instrucţiunile de bază sunt instrucţiunile care au un op-cod unic, decodificat ca atare de unitatea centrală (CPU). Instrucţiunile emulate fac mai usoară scrierea şi citirea codului sursă dar nu au op-coduri proprii. Ele vor fi înlocuite, în mod automat, de asamblor cu instrucţiuni de bază care realizează o operaţie echivalentă. Utilizarea instrucţiunilor emulate nu are nici un efect negativ asupra vitezei de execuţie sau dimensiunii codului generat de asamblor. Există 3 categorii diferite de instrucţiuni de bază: - cu doi operanzi - cu un singur operand - de salt Toate instrucţiunile cu un singur operand sau cu doi operanzi, pot fi de prelucrare(operatie) tip octet-byte (cu extensia.b) sau tip cuvant -word (cu extensia.w). Instrucţiunile tip octet sunt folosite pentru a accesa date sau periferice-registre organizate pe octet, iar cele tip cuvant pentru a accesa date sau periferice organizate pe cuvant (doi octeti). Dacă extensia (.) nu este prezentă, implicit instrucţiunea este de tip cuvant. În descrierea care urmează, a formatului acestor instrucţiuni, sursa şi destinaţia sunt definite astfel: - src operandul sursă definit de As(mod adresare sursă) şi S-reg (registru sursă) - dst operandul destinatie definit de Ad(mod adresare destinatie) şi D-reg (registru destinatie) - As camp de biţi care definesc modul de adresare pentru sursă (src) - S-reg registru de lucru utilizat pentru sursă (src) - Ad camp de biti care definesc modul de adresare pentru destinatie (dst) - D-reg registru de lucru utilizat pentru destinatie (dst) - B/W bit care codifică tipul operaţiei; B/W=0 pe cuvant (Word) sau B/W=1 pe octet (Byte) 10

11 Adresele pentru destinaţie pot fi oriunde în spatiul de memorie al. Atunci cand instrucţiunea respectiva modifică conţinutul destinaţiei, iar destinatia este o locaţie de memorie care nu poate fi scrisă (de exemplu de tip ROM), rezultatul instrucţiunii se va pierde, el neputand fi memorat în destinaţia respectivă. a. Instrucţiuni cu doi operanzi (Formatul I) Formatul acestor instrucţiuni este descris în figura următoare: Mnemonica S-Reg, D-Reg Operaţie Biti Stare VNZC MOV(.B) Src, dst src dst ADD(.B) Src, dst src + dst dst **** ADDC(.B) Src, dst src + dst + C dst **** SUB(.B) Src, dst dst +.NOT.src + 1 dst **** SUBC(.B Src, dst dst +.NOT.src + C dst **** CMP(.B) Src, dst dst src **** DADD(.B) Src, dst src + dst + C dst (zecimal) **** BIT(.B) Src, dst src.and. dst 0*** BIC(.B) Src, dst.not.src.and. dst dst BIS(.B) Src, dst src.or. dst dst XOR(.B) Src, dst src.xor. dst dst **** AND(.B) Src, dst src.and. dst dst 0*** *Bitul de stare este afectat Bitul de stare nu este afectat 0 Bitul de stare este sters(resetat) 1 Bitul de stare este setat Instrucţiunile CMP şi SUB sunt identice cu excepţia faptului că SUB mai şi memorează rezultatul în destinatie (destinaţia este modificată). O situaţie asemănătoare se întalneste la instrucţiunile BIT şi AND. Instrucţiunea DADD realizează însumarea zecimală a sursei şi destinaţiei. Cei doi operanzi se presupune ca sunt în reprezentare binar zecimală (ca numere pozitive, cod BCD 8421): avem 4 cifre BCD pentru operarea pe cuvant şi respectiv 2 cifre BCD pentru operarea pe octet. Rezultatul operaţiei nu este definit dacă operanzii nu sunt în reprezentare binar zecimală. Indicatorul de condiţie Carry(transport) este setat daca rezultatul este mai mare de 9999 (.W) sau respectiv 99 (.B). 11

12 În figura următoare este ilustrată utilizarea instrucţiunii ADD în cazul prelucrării pe octet (byte) şi respectiv pe cuvant(word). b. Instrucţiuni cu un singur operand (Formatul II) Formatul acestor instrucţiuni este descris în figura următoare: Mnemonica S-Reg, D Reg Operaţie Biti stare VNZC RRC(.B) Dst C MSB...LSB C **** RRA(.B) Dst MSB MSB...LSB C 0*** PUSH(.B) Src SP 2 SP, SWPB Dst Permuta octetii CALL Dst SP 2 SP, dst PC RETI TOS SR, SP + 2 SP, TOS PC, SP + 2 SP **** SXT Dst Bit 7 Bit 8...Bit 15 0*** *Bitul de stare este afectat Bitul de stare nu este afectat 0 Bitul de stare este sters(resetat) 1 Bitul de stare este setat Pentru instrucţiunea CALL (apel subrutină) sunt disponibile toate modurile de adresare. Dacă se utilizeaza modurile: simbolic, imediat(#), absolut(&) sau indexat, cuvantul care urmează opcodului va conţine adresa de destinaţie. 12

13 c. Instrucţiuni de salt În figura următoare este descris formatul acestei categorii de instrucţiuni: Mnemonica S-Reg, D-Reg Operatie JEQ/JZ Eticheta Salt la etichetă dacă bitul Z(zero) este setat JNE/JNZ Eticheta Salt la etichetă dacă bitul Z(zero) este resetat JC Eticheta Salt la etichetă dacă bitul C(carry) este setat JNC Eticheta Salt la etichetă dacă bitul C(carry) este resetat JN Eticheta Salt la etichetă dacă bitul N(negative) este setat JGE Eticheta Salt la etichetă dacă (N.XOR. V) = 0 ; cei 2 biti sunt identici JL Eticheta Salt la etichetă dacă (N.XOR. V) = 1 ; cei 2 biti sunt diferiti JMP Eticheta Salt la etichetă, neconditionat Salturile condiţionate (care testează valoarea indictorilor de condiţie- a biţilor de stare) şi saltul necondiţionat sunt salturi relative fata de valoarea curentă a PC-ului şi nu afectează indicatorii de conditie. Domeniul în care se poate face saltul este de la 511 la +512 cuvinte relativ la valoarea PC-ului pentru instrucţiunea de salt respectivă. Offsetul respectiv pentru PC este codificat ca un întreg cu semn pe 10 biti (de aici şi domeniul de reprezentare de 511 la +512) care este dublat (fiind vorba de cuvinte) şi adunat la PC: PC nou (eticheta) = PC vechi offset PC x 2; 13

14 SETUL COMPLET DE INSTRUCŢIUNI Mnemonică Descriere V N Z C ADC(.B) dst * Adună pe C la destinatie dst + C dst * * * * ADD(.B) src,dst Adună sursa la destinatie src + dst dst * * * * ADDC(.B) src,dst Adună sursa şi C la destinatie src + dst + C dst * * * * AND(.B) src,dst AND(SI) între sursa şi destinatie src.and. dst dst 0 * * * BIC(.B) src,dst Sterge bitii din destinatie NOTsrc AND dst dst BIS(.B) src,dst Setează bitii din destinatie src.or. dst dst BIT(.B) src,dst Testează biti din destinatie src.and. dst 0 * * * BR dst * Ramificare(salt) la destinatie dst PC CALL dst Apelează (subrutina de la) destinatie PC+2 stack, dst PC CLR(.B) dst * Sterge destinatia 0 dst CLRC * Sterge C 0 C CLRN * Sterge N 0 N CLRZ * Sterge Z 0 Z CMP(.B) src,dst Compară sursa cu destinatia dst src * * * * DADC(.B) dst * Adună pe C zecimal la destinatie dst + C dst (decimally) * * * * DADD(.B) src,dst Adună sursa şi pe C zecimal la src + dst + C dst * * * * destinatie. (zecimal) DEC(.B) dst * Decrementează destinatia dst 1 dst * * * * DECD(.B) dst * Dublu decrementează destinatia dst 2 dst * * * * DINT * Dezactivează intreruperile 0 GIE EINT * Activează întreruperile 1 GIE INC(.B) dst * Incrementează destinatia dst +1 dst * * * * INCD(.B) dst * Dublu incrementează destinatia dst+2 dst * * * * INV(.B) dst * Inversează destinatia.not.dst dst * * * * JC/JHS eticheta Salt dacă C este setat / Salt dacă mai mare sau egal JEQ/JZ eticheta Salt dacă egal / Salt dacă Z este setat JGE eticheta Salt dacă mai mare sau egal JL eticheta Salt dacă mai mic JMP eticheta Salt la etichetă PC + 2 x offset PC JN eticheta Salt dacă N este setat JNC/JLO eticheta Salt dacă C nu este setat / Salt dacă mai mic JNE/JNZ eticheta Salt dacă nu este egal / Salt dacă Z nu este setat MOV(.B) src,dst Copiază sursa în destinatie src dst NOP * Nici o operatie POP(.B) dst * Extrage obiect din stivă în dst, SP+2 SP PUSH(.B) src Salvează sursa în stivă SP 2 SP, RET* * Întoarcere din PC, SP + 2 SP RETI Întoarcere din întrerupere * * * * 14

15 Mnemonică Descriere V N Z C RLA(.B) * Rotire stanga aritmetică * * * * RLC(.B) dst * Rotire stanga prin C * * * * RRA(.B) dst Rotire dreapta aritmetică 0 * * * RRC(.B) dst Rotire dreapta prin C * * * * SBC(.B) dst * Scade not(c) din destinatie dst + 0FFFFh + C dst * * * * SETC * Setare C 1 C SETN * Setare N 1 N SETZ * Setare Z 1 C SUB(.B) src,dst Scade sursa din destinatie dst +.NOT.src + 1 dst * * * * SUBC(.B) src,dst Scade sursa şi not(c) din destinatie dst +.NOT.src + C dst * * * * SWPB dst Permută octetii(bytes) SXT dst Extindere de semn 0 * * * TST(.B) dst * Testare destinatie dst + 0FFFFh * * 1 XOR(.B) src,dst XOR(SAU EXCLUSIV) între sursă şi destinatie src.xor. dst dst * * * * OBS. 1. Instrucţiunile marcate cu * sunt instrucţiuni emulate. 2. Bitii de stare evoluează astfel: * bitul este afectat - bitul nu este afectat 0 bitul este sters 1 bitul este setat 3. Setul utilizat de operatori logici este:.not. (complement fata de 1),.OR.(sau),.AND.(si),.XOR. (sau exclusiv). 15

Microsoft Word - intro_msp430.doc

Microsoft Word - intro_msp430.doc PREZENTAREA GENERALA A ARHITECTURII (TEXAS INSTRUMENTS) Familia de microcontrolere incorporează o unitate centrală (CPU) tip RISC de 16 biţi, periferice specializate, memorie internă de tip ROM şi RAM,

Mai mult

Adresarea memoriei Modurile de adresare constituie un instrument principal pentru reprezentarea în memorie a imaginii datelor, aşa cum este ace

Adresarea memoriei Modurile de adresare constituie un instrument principal pentru reprezentarea în memorie a imaginii datelor, aşa cum este ace 174 12 Adresarea memoriei Modurile de adresare constituie un instrument principal pentru reprezentarea în memorie a imaginii datelor, aşa cum este aceasta văzută de programatorul în limbaj de nivel înalt.

Mai mult

1. ARHITECTURA MICROPROCESOARELOR 1.1. Microprocesorul. Noțiuni generale Progresele tehnologice și electronice, înregistrate în ultimele decenii, au d

1. ARHITECTURA MICROPROCESOARELOR 1.1. Microprocesorul. Noțiuni generale Progresele tehnologice și electronice, înregistrate în ultimele decenii, au d 1. ARHITECTURA MICROPROCESOARELOR 1.1. Microprocesorul. Noțiuni generale Progresele tehnologice și electronice, înregistrate în ultimele decenii, au determinat apariția microprocesoarelor care a reprezentat

Mai mult

Slide 1

Slide 1 SCTR -SZOKE ENIKO - Curs 4 continuare curs 3 3. Componentele hard ale unui sistem de calcul in timp real 3.1 Unitatea centrala de calcul 3.1.1 Moduri de adresare 3.1.2 Clase de arhitecturi ale unitatii

Mai mult

PROCESOARE NUMERICE DE SEMNAL

PROCESOARE NUMERICE DE SEMNAL D(15-0) - Magistrala de date O magistrală de 16 biţi folosită pentru transportul datelor. DAB(15-0) - Magistrala de adrese a memoriei de date O magistrală de 16 biţi care grupează adresele memoriei de

Mai mult

Controlerul LCD

Controlerul LCD Controlerul LCD Controlerul LCD poate comanda direct un afisor de tip tip LCD, generand in mod automat tensiunile de comanda necesare pentru segmente (notate SEG, SP sau S) si electrozii comuni (notati

Mai mult

Microsoft Word - 4-Interfete paralele.doc

Microsoft Word - 4-Interfete paralele.doc 4. Cuprins modul 4.1. neprogramabile 4.2.Interfaţa paralelă programabilă 4.3.Protocoale de transfer 4.4.Programarea circuitului de interfaţă paralelă 4.5.Exemplu de implementare Cuprins Introducere După

Mai mult

Slide 1

Slide 1 SCTR -SZOKE ENIKO - Curs 3 3. Componentele hard ale unui sistem de calcul in timp real 3.1 Unitatea centrala de calcul 3.1.1 Moduri de adresare 3.1.2 Clase de arhitecturi ale unitatii de calcul 3.2

Mai mult

PROCESOARE NUMERICE DE SEMNAL

PROCESOARE NUMERICE DE SEMNAL 4.5 Unitatea centrală aritmetică şi logică CALU din TMS320C2x conţine un circuit de deplasare şi scalare pe 16 biţi, un multiplicator paralel pe 16 x 16 biţi, o ALU pe 32 de biţi, un acumulator pe 32 biţi

Mai mult

Microsoft Word - Curs1.docx

Microsoft Word - Curs1.docx 1. REPREZENTAREA INFORMAȚIILOR ÎN CALCULATOR 1.1. CONCEPTUL DE DATĂ ȘI INFORMAȚIE Datele desemnează elementele primare, provenind din diverse surse, fără o formă organizată care să permită luarea unor

Mai mult

SSC-Impartire

SSC-Impartire Adunarea Înmulțirea Numere și operații în virgulă mobilă 1 Împărțirea cu refacerea restului parțial Împărțirea fără refacerea restului parțial 2 Primul operand: deîmpărțit (X) Al doilea operand: împărțitor

Mai mult

1. Operatii cu matrici 1 Cerinte: Sa se realizeze functii pentru operatii cu matrici patratice (de dimensiune maxima 10x10). Operatiile cerute sunt: A

1. Operatii cu matrici 1 Cerinte: Sa se realizeze functii pentru operatii cu matrici patratice (de dimensiune maxima 10x10). Operatiile cerute sunt: A 1. Operatii cu matrici 1 Sa se realizeze functii pentru operatii cu matrici patratice (de dimensiune maxima 10x10). Operatiile cerute sunt: A+B (adunare), aa (inmultire cu scalar), A-B scadere), AT (Transpusa),

Mai mult

PowerPoint-Präsentation

PowerPoint-Präsentation Universitatea Transilvania din Braşov Laboratorul de Vedere Artificială Robustă şi Control Sisteme cu MicroProcesoare Curs 4 Module de tip timer Tiberiu Teodor COCIAȘ 1 Cuprins Module de tip timer Accesarea

Mai mult

PowerPoint-Präsentation

PowerPoint-Präsentation Universitatea Transilvania din Braşov Laboratorul de Vedere Artificială Robustă şi Control Sisteme cu MicroProcesoare Curs 3 Tiberiu Teodor COCIAȘ 1 Cuprins Aplicație 2 Ce este o întrerupere? 3 Definiție:

Mai mult

LOGICAL DESIGN OF DIGITAL COMPUTERS

LOGICAL  DESIGN OF DIGITAL COMPUTERS Strctra și Organizarea Calclatoarelor Titlar: BĂRBULESCU Lcian-Florentin Capitoll 6 STRUCTURA SIMPLIFICATĂ A UNUI PROCESOTR MIPS CONȚINUT Procesor MIPS c eecția pe n cicl Little-endian și Big-endian Registrele

Mai mult

Interfețe și Protocoale de Comunicații Arduino-Port Paralel Arduino. Laborator 1- Portul Paralel 1 Caracteristici generale Arduino UNO este o placă de

Interfețe și Protocoale de Comunicații Arduino-Port Paralel Arduino. Laborator 1- Portul Paralel 1 Caracteristici generale Arduino UNO este o placă de Arduino. Laborator 1- Portul Paralel 1 Caracteristici generale Arduino UNO este o placă de dezvoltare bazată pe un microcontroller ATmega 328P pe 8 biți cu 32kB memorie flash. Placa de dezvoltare conține:

Mai mult

Slide 1

Slide 1 SCTR -SZOKE ENIKO - Curs 6 Maparea memoriei Informatiile (date sau instructiuni) circula pe caile de sistem format din busul de date si busul de adrese si sunt gestionate de unitatile de intrare/iesire.

Mai mult

E_d_Informatica_sp_SN_2014_bar_10_LRO

E_d_Informatica_sp_SN_2014_bar_10_LRO Examenul de bacalaureat naţional 2014 Proba E. d) Informatică Varianta 10 Toate subiectele sunt obligatorii. Se acordă 10 puncte din oficiu. Timpul de lucru efectiv este de 3 ore. În rezolvările cerute,

Mai mult

Microsoft Word - Prelegere 1 - Bratu C. - Microcontrolerul.doc

Microsoft Word - Prelegere 1 - Bratu C. - Microcontrolerul.doc ARHITECTURA UNOR MICROCONTROLERE DIN CATEGORIA PIC. TEHNICI DE ADRESARE A MEMORIEI. STRATEGII DE INTRARE-IEIRE 1. Microcontroler contra Microprocesor Microprocesorul, este unitatea central de prelucrare

Mai mult

Slide 1

Slide 1 STRUCTURI DE DATE Fundamente C/C++ EVALUARE SEMESTRU: 4 puncte Testare cunostinte: 1. Calculator (2 puncte) 2. Scris/Oral (2 puncte) 2 EVALUARE EXAMEN: 6 puncte Test cunostinte (pe calculator): 1 punct.

Mai mult

Microsoft Word - Notiuni de arhitectura calculatoarelor.doc

Microsoft Word - Notiuni de arhitectura calculatoarelor.doc NOTIUNI DE ARHITECTURA CALCULATOARELOR Introducere In acest capitol se vor studia cateva notiuni legate de modul in care este realizat un calculator si cum este utilizat acesta in indeplinirea unor functii.

Mai mult

Microsoft Word - Tema 06 - Convertoare analog-numerice.doc

Microsoft Word - Tema 06 - Convertoare analog-numerice.doc Convertoare analog-numerice (ADC) Convertoarele analog-numerice sunt circuite electronice (în variantă integrată sau hibridă) care, printr-un algoritm intrinsec de funcţionare, asociază valorilor tensiunii

Mai mult

Ch

Ch Numai pentru uz academic EDK Laborator 4-5 Adăugarea modulelor IP la un proiect hardware Laborator 2: Adăugarea modulelor IP la un proiect hardware Introducere Obiective Acest laborator prezintă procesul

Mai mult

-

- Cursul 6 Expresii în C/C++ (I) https://docs.microsoft.com/en-us/cpp/cpp/expressions-cpp După cum am văzut, într-un program de calcul scris în limbajul C/C++ prelucrarea datelor se realizează printr-o serie

Mai mult

Operatorii in C Expresii Operatori aritmetici Operatori de asignare Operatori de incrementare si decrementare Operatori relationali Operatori logici O

Operatorii in C Expresii Operatori aritmetici Operatori de asignare Operatori de incrementare si decrementare Operatori relationali Operatori logici O Operatorii in C Expresii Operatori aritmetici Operatori de asignare Operatori de incrementare si decrementare Operatori relationali Operatori logici Operatii pe biti Operatorul conditional Operatori Logici

Mai mult

Microsoft Word - Programarea Portului Paralel - IBM-PC. .doc

Microsoft Word - Programarea Portului Paralel - IBM-PC. .doc Lucrarea 1. Programarea Portului Paralel - IBM-PC. 1. Introducere. Lucrea are ca scop familiarizarea cu portul paralel al PC-ului, descriindu-se structura portului parelel, configuraţia acestuia, regimurile

Mai mult

Dot Matrix Display Module and

Dot Matrix Display Module and Erasmus+ KA-202 Proiecte de parteneriate strategice pentru educație și formare profesională Titlul proiectului: "Predarea și învățarea microcontrolerelor prin limbajul PicBasicPro (PBP) în VET" Acronimul

Mai mult

Paradigme de programare

Paradigme de programare Curs 4 Transparență referențială. Legare statică / dinamică. Modelul contextual de evaluare. Transparență referențială Cuprins Efecte laterale Transparență referențială 2 Efecte laterale Efecte laterale

Mai mult

Microsoft Word - O problema cu bits.doc

Microsoft Word - O problema cu bits.doc O problemă cu bits 1 Tiberiu Socaciu Enunţul Pe pagina Proful de Mate de pe Facebook 2 am primit de la un elev de clasa a IX-a următoarea provocare 3 : Vom oferi două soluţii, una folosind manipulări de

Mai mult

Microsoft Word - Lucrarea_10_t.doc

Microsoft Word - Lucrarea_10_t.doc Lucrarea 0 Sinteza Dispozitivelor de Înmulţire în Radix Superior Lucrarea urmăreşte o abordare practică, din punctul de vedere al designerului hardware, a problematicii sintezei dispozitivelor hardware

Mai mult

EXCEL FĂRĂ SECRETE Grafice şi diagrame

EXCEL FĂRĂ SECRETE Grafice şi diagrame EXCEL FĂRĂ SECRETE Grafice şi diagrame Cuprins 1. Introducere... 3 2. Crearea graficelor în Excel... 3 3. Mutarea şi copierea graficelor... 11 2 EXCEL FĂRĂ SECRETE- Grafice şi diagrame 1. Introducere Informaţiile

Mai mult

Laborator 04: Apeluri de funcții

Laborator 04: Apeluri de funcții 2016/09/27 08:03 1/10 Laborator 04: Apeluri de funcții Laborator 04: Apeluri de funcții În acest laborator vom prezenta modul în care se realizează apeluri de funcții. Vom vedea cum putem folosi instrucțiunile

Mai mult

Cartelele telefonice

Cartelele telefonice Cartelele telefonice Mr.instr. Gheorghe OLAN Generalităţi De la mijlocul anilor 80 a apărut o nouă generaţie de carduri (aşanumitele carduri inteligente sau carduri cu cipuri), care au înlocuit majoritatea

Mai mult

Implementarea calculatorului didactic DLX (Cursul 3)

Implementarea calculatorului didactic DLX   (Cursul 3) CN1_Cursul 10. Implementarea calculatorului didactic DLX Cu exceptia instructiunilor in VM, toate instructiunile DLX pot fi descompuse in 5 pasi fundamentali, fiecare pas necesitand una sau mai multe perioade

Mai mult

Înregistrator de temperatură şi umiditate AX-DT100 Instrucţiuni de utilizare

Înregistrator de temperatură şi umiditate AX-DT100 Instrucţiuni de utilizare Înregistrator de temperatură şi umiditate AX-DT100 Instrucţiuni de utilizare Introducere Înregistratorul de temperatură şi umiditate este prevăzut cu un senzor foarte exact de temperatură şi umiditate.

Mai mult

Lucrarea nr

Lucrarea nr Lucrarea nr. 3 Tehnici de programare a aplicaţiilor pe sisteme cu microcontroloare 1. Obiectivul lucrarii Lucrarea urmăreşte studierea tehnicilor de dezvoltare si depanare a programelor scrise pentru sisteme

Mai mult

PowerPoint Presentation

PowerPoint Presentation 1 Toate erorile unui circuit de eşantionare-memorare se pot deduce cantitativ din specificaţiile tehnice ale circuitului, cu excepţia erorii generate de timpul de apertură, fiindcă această eroare este

Mai mult

Programarea şi utilizarea calculatoarelor

Programarea şi utilizarea calculatoarelor Universitatea Constantin Brâncuşi din Târgu-Jiu Facultatea de Inginerie Departamentul de Automatică, Energie şi Mediu Programarea calculatoarelor Lect.dr. Adrian Runceanu Curs 3 Elemente introductive ale

Mai mult

Minicurs CCS C / Aplicatia1 1.Programul CCS C Compiler. Instalare.Creare proiect. Descarcati ultima versiune a programului de

Minicurs CCS C / Aplicatia1   1.Programul CCS C Compiler. Instalare.Creare proiect. Descarcati ultima versiune a programului de Minicurs CCS C / Aplicatia1 www.roboprog.weebly.com 1.Programul CCS C Compiler. Instalare.Creare proiect. Descarcati ultima versiune a programului de la adresa : http://www.ccsinfo.com/compdemo.php Instalati

Mai mult

Microsoft Word - Lab1a.doc

Microsoft Word - Lab1a.doc Sisteme de numeraţie şi coduri numerice 1.1. Sisteme de numeraţie 1.2. Conversii generale între sisteme de numeraţie 1.3. Reprezentarea numerelor binare negative 1.4. Coduri numerice 1.5. Aplicaţii In

Mai mult

LUMINIŢA SCRIPCARIU

LUMINIŢA SCRIPCARIU ANEXA A PROGRAMAREA SERVICIILOR INTERNET Programarea serviciilor de reţea implică o cunoaştere amănunţită a modului în care circulă datele prin Internet şi, mai precis, a protocoalelor din suita TCP/IP

Mai mult

Limbaje de Programare Curs 6 – Functii de intrare-iesire

Limbaje de Programare   Curs 6 – Functii de intrare-iesire Limbaje de Programare Curs 6 Funcţii de intrare-ieşire Dr. Casandra Holotescu Universitatea Politehnica Timişoara Ce discutăm azi... 1 Citire formatată 2 Citirea şirurilor de caractere 3 Citirea unor linii

Mai mult

L4. TEOREMELE ALGEBREI BINARE. FUNCȚII LOGICE ELEMENTARE. OPERAȚII LOGICE PE BIT. SINTEZA FUNCȚIILOR LOGICE DIN TABELE DE ADEVĂR 1. Obiective Prin par

L4. TEOREMELE ALGEBREI BINARE. FUNCȚII LOGICE ELEMENTARE. OPERAȚII LOGICE PE BIT. SINTEZA FUNCȚIILOR LOGICE DIN TABELE DE ADEVĂR 1. Obiective Prin par L4. TEOREMELE LGEBREI BINRE. FUNCȚII LOGICE ELEMENTRE. OPERȚII LOGICE PE BIT. SINTEZ FUNCȚIILOR LOGICE DIN TBELE DE DEVĂR 1. Obiective Prin parcurgerea acestei ședințe de laborator studenții vor fi capabili:

Mai mult

Microsoft Word - CarteC.doc

Microsoft Word - CarteC.doc Introducere în limbajul de programare C - C este un limbaj de programare ale cărui caracteristici sunt economia de expresie, structuri moderne de control al fluxului şi de date, precum şi un set bogat

Mai mult

tehnologii web

tehnologii web Universitatea Constantin Brâncuşi din Târgu-Jiu Facultatea de Inginerie Departamentul de Automatică, Energie şi Mediu Tehnologii Web Lector univ. dr. Adrian Runceanu 1 Curs 7 Limbajul PHP (partea II) 2

Mai mult

Manual de utilizare Room Booking System

Manual de utilizare Room Booking System Manual de utilizare Room Booking System Cuprins 1. Autentificare 2. Utilizare aplicatie 2.1. Manage Reservation 2.2. Rooms 2.3. Manage Users 2.4. View Reservations 3. Sectiuni Adaugare/Editare 3.1 Adauga

Mai mult

Microsoft Word - IngineriF_A.DOC

Microsoft Word - IngineriF_A.DOC Se considera v BE 0.6V in conductie si β00. Pentru v I.6+0.05sinωt [V], tensiunea este : +0V R C 5K v I v BE 0.5mA 0V C a 7.50.3sinωt [V] c.5.5sinωt [V] b 7.5.5sinωt [V] d.60.05sinωt [V] Se cunoaste β00

Mai mult

Microsoft PowerPoint - ImplementareLimbaj [Read-Only] [Compatibility Mode]

Microsoft PowerPoint - ImplementareLimbaj [Read-Only] [Compatibility Mode] Detalii de implementare. Declararea variabilelor, transmiterea parametrilor catre subprograme. Declararea variabilelor variabile globale -declarate in afara oricarei functii variabile locale -declarate

Mai mult

Microsoft PowerPoint - Curs_SDA_9_RO_2019_v2.pptx

Microsoft PowerPoint - Curs_SDA_9_RO_2019_v2.pptx SDA (PC2) Curs 9 Liste / Grafuri / Arbori Iulian Năstac Lista dublu înlănțuită Recapitulare Într-o astfel de listă fiecare nod conţine doi pointeri: unul spre nodul următor şi unul spre nodul precedent.

Mai mult

Secţiunea 7-8 începători Concurs online de informatică Categoria PROGRAMARE PROBLEMA 1 ID 100 puncte Calculatoarele trebuie să se recunoască în rețeau

Secţiunea 7-8 începători Concurs online de informatică Categoria PROGRAMARE PROBLEMA 1 ID 100 puncte Calculatoarele trebuie să se recunoască în rețeau PROBLEMA ID 00 puncte Calculatoarele trebuie să se recunoască în rețeaua de Internet printr-un ID. În prezent, există metode de identificare a ID-ului folosite la scară globală: IPv4 și IPv6. Adresele

Mai mult

ALGORITMII ŞI REPREZENTAREA LOR Noţiunea de algoritm Noţiunea de algoritm este foarte veche. Ea a fost introdusă în secolele VIII-IX de către Abu Ja f

ALGORITMII ŞI REPREZENTAREA LOR Noţiunea de algoritm Noţiunea de algoritm este foarte veche. Ea a fost introdusă în secolele VIII-IX de către Abu Ja f ALGORITMII ŞI REPREZENTAREA LOR Noţiunea de algoritm Noţiunea de algoritm este foarte veche. Ea a fost introdusă în secolele VIII-IX de către Abu Ja far Mohammed ibn Musâ al- Khowârizmî în cartea sa intitulată

Mai mult

Microsoft Word - Prezentare - A4 - cernavoda.doc

Microsoft Word - Prezentare - A4 - cernavoda.doc A518 MINIGUARD 1 din 6 1. Prezentare A518 MINIGUARD este destinat monitorizării a 48 intrări digitale şi realizează următoarele funcţii : declanşarea unei alarme acustice (buzzer) şi a unei alarme vizuale

Mai mult

Slide 1

Slide 1 - Curs 2 - Verilog HDL reprezintă un limbaj utilizat pentru descrierea sistemelor numerice. Sistemele numerice pot fi calculatoare, componenete ale acestora sau alte structure care manipulează informaţie

Mai mult

LABORATOR 2

LABORATOR 2 LABORATOR Reprezentarea Numerelor Sisteme de Calcul Cuprins Sisteme de calcul, componenta hardware și software; Funcționarea unității de procesare; Reprezentarea informației; Reprezentarea numerelor în

Mai mult

Caraivan George-Alexandru Grupa 431A Interfața driver-kernel la Linux Introducere Deși pentru unii dintre noi acest lucru poate fi o supriză, cei mai

Caraivan George-Alexandru Grupa 431A Interfața driver-kernel la Linux Introducere Deși pentru unii dintre noi acest lucru poate fi o supriză, cei mai Caraivan George-Alexandru Grupa 431A Interfața driver-kernel la Linux Introducere Deși pentru unii dintre noi acest lucru poate fi o supriză, cei mai specializați dintre noi în domeniul calculatoarelor

Mai mult

E_d_Informatica_sp_MI_2015_bar_02_LRO

E_d_Informatica_sp_MI_2015_bar_02_LRO Examenul de bacalaureat naţional 2015 Proba E. d) Informatică Varianta 2 Filiera teoretică, profilul real, specializările: matematică-informatică matematică-informatică intensiv informatică Toate subiectele

Mai mult

De la BIT la procesor

De la BIT la procesor Florin ONIGA DE LA BIT LA PROCESOR. Introducere în arhitectura calculatoarelor Editura UTPRESS Cluj-Napoca, 29 ISBN 978-66-737-366- Editura U.T.PRESS Str.Observatorului nr. 34 4775 Cluj-Napoca Tel.:264-4.999

Mai mult

Arhitectura calculatoarelor. Indrumator de laborator

Arhitectura calculatoarelor. Indrumator de laborator Florin ONIGA Mihai NEGRU ARHITECTURA CALCULATOARELOR Îndrumător de laborator Editura UTPRESS Cluj-Napoca, 2019 ISBN 978-606-737-350-9 Editura U.T.PRESS Str.Observatorului nr. 34 400775 Cluj-Napoca Tel.:0264-401.999

Mai mult

Lab6LCD

Lab6LCD LABORATOR 6 - Interfaţă pentru afişor cu cristale lichide (LCD), partea II-a Scopul lucrării În laboratorul anterior s-au implementat funcţiile rd_lcdreg(char vrs), wr_lcdreg(char vrs, char data), void

Mai mult

Slide 1

Slide 1 Arhitectura Sistemelor de Calcul Curs 8 Universitatea Politehnica Bucuresti Facultatea de Automatica si Calculatoare cs.pub.ro curs.cs.pub.ro Structura SIMD Cuprins Probleme de Comunicatii intre Procesoarele

Mai mult

Sisteme de calcul în timp real

Sisteme de calcul în timp real Laboratorul nr. 6 Concluzii, recapitulare, recuperare, încheierea situației pentru laborator http://epe.utcluj.ro/index.php/sisteme-de-calcul-in-timp-real/ Ing. mast.: Pintilie Lucian Nicolae E-mail: Lucian.Pintilie@emd.utcluj.ro

Mai mult

PowerPoint Presentation

PowerPoint Presentation Circuite Integrate Digitale Conf. Monica Dascălu Curs Seminar Laborator notă separată Notare: 40% seminar 20% teme // + TEMA SUPLIMENTARA 40% examen 2014 CID - curs 1 2 Bibliografie Note de curs Cursul

Mai mult

VLT® AQUA Cascade Contr. Manual SW1.00

VLT® AQUA Cascade Contr. Manual SW1.00 Conţinut Conţinut 1. Siguranţă şi precauţii 3 Instrucţiuni de tehnica securităţii 3 Evitarea pornirii accidentale 3 Avertisment general 4 2. Introducere 5 Descriere generală 5 3. Configuraţii acceptate

Mai mult

Sisteme cu FPGA şi Soft Processors

Sisteme cu FPGA şi Soft Processors Sisteme cu FPGA şi Soft Processors System On a Chip număr componente spaţiu pe placă SoC interconexiuni latenţă complexitate placă consum electric realizare: Off-the-shelf: AP7000 ASIC FPGA CPU display

Mai mult

Platforma 5. 1 Introducere in MSSQL Introducere Pe parcursul ultimilor ani, se poate observa o cuplare a limbajelor de programare majore cu tipuri de

Platforma 5. 1 Introducere in MSSQL Introducere Pe parcursul ultimilor ani, se poate observa o cuplare a limbajelor de programare majore cu tipuri de Platforma 5. 1 Introducere in MSSQL Introducere Pe parcursul ultimilor ani, se poate observa o cuplare a limbajelor de programare majore cu tipuri de baze de date: Microsoft.Net (C# & VB) + Microsoft C++

Mai mult

Microsoft Word - Algoritmi genetici.docx

Microsoft Word - Algoritmi genetici.docx 1.1 Generalităţi Algoritmii genetici fac parte din categoria algoritmilor de calcul evoluționist și sunt inspirați de teoria lui Darwin asupra evoluției. Idea calculului evoluționist a fost introdusă în

Mai mult

Microsoft Word - Excel_3.DOC

Microsoft Word - Excel_3.DOC 4.3. Formatarea datelor. Precizarea formatului de afişare a datelor se efectuează prin meniul Format, comada Cells (care deschide caseta alăturată), eticheta Number, din lista Category, descrisă în continuare

Mai mult

SCD-Procesoare-1

SCD-Procesoare-1 Cuprins 1. Introducere 2. Modele și limbaje pentru specificația sistemelor 3. Interfețe de comunicație 4. Periferice pentru sisteme dedicate 5. Microcontrolere 6. Procesoare dedicate 7. Dezvoltarea programelor

Mai mult

Microsoft Word - Ansamblul software CRONIS

Microsoft Word - Ansamblul software CRONIS Ansamblul software CRONIS este un sistem decizional multiagent pentru generarea, optimizarea şi managementul RRBCN/RNBCN pornind de la un set de date medicale complexe, rezultate din entități medicale

Mai mult

Guns N' Roses Video Slots Regulile jocului Guns N' Roses Video Slots este un slot video cu 5 role, 3 rânduri și 20 de linii care conține substituții W

Guns N' Roses Video Slots Regulile jocului Guns N' Roses Video Slots este un slot video cu 5 role, 3 rânduri și 20 de linii care conține substituții W Guns N' Roses Video Slots Regulile jocului Guns N' Roses Video Slots este un slot video cu 5 role, 3 rânduri și 20 de linii care conține substituții Wild, Expanding Wilds, Appetite for Destruction Wild,

Mai mult

ANEXĂ

ANEXĂ CURTEA DE APEL BRAŞOV ANEXA nr. 2 la anunţul de ocupare a funcţiei vacante de CONSILIER (inginer constructor) Detalii referitoare la cunoştinţele minime necesare pentru încadrarea în nivelul mediu de operare

Mai mult

Slide 1

Slide 1 STRUCTURI DE DATE Arbori B Sisteme de Gestiune a Bazelor de Date Relaţionale (SGBDR): operatie importanta regasirea rapida a datelor indecsi. Indexul: colecţie de perechi

Mai mult

Universitatea Aurel Vlaicu din Arad Facultatea de Științe Exacte CONCURSUL INTERNAȚIONAL DE MATEMATICĂ ȘI INFORMATICĂ CAIUS IACOB Ediția a VIII-a SECȚ

Universitatea Aurel Vlaicu din Arad Facultatea de Științe Exacte CONCURSUL INTERNAȚIONAL DE MATEMATICĂ ȘI INFORMATICĂ CAIUS IACOB Ediția a VIII-a SECȚ Universitatea Aurel Vlaicu din Arad Facultatea de Științe Exacte CONCURSUL INTERNAȚIONAL DE MATEMATICĂ ȘI INFORMATICĂ CAIUS IACOB Ediția a VIII-a SECȚIUNEA TEHNOLOGIA INFORMAȚIEI, cls. XI-XII Subiectul

Mai mult

Informatică aplicată TEST GRILA TEST GRILĂ - REZOLVAT 1.Structura de principiu a unui sistem de calcul contine urmatoarele componente principale: A. u

Informatică aplicată TEST GRILA TEST GRILĂ - REZOLVAT 1.Structura de principiu a unui sistem de calcul contine urmatoarele componente principale: A. u Informatică aplicată TEST GRILA TEST GRILĂ - REZOLVAT 1.Structura de principiu a unui sistem de calcul contine urmatoarele componente principale: A. unitatea de intrarea B. unitatea de memorie interna

Mai mult

1

1 1. Funcţionarea echipamentelor într-o reţea locală Placa de reţea (NIC-Network Interface Card) este o placă cu circuite integrate poate fi preinstalată în calculator sau se montează într-un slot de extensie

Mai mult

Școala: Clasa a V-a Nr. ore pe săptămână: 4 Profesor: MATEMATICĂ Clasa a V-a Aviz director PLANIFICARE CALENDARISTICĂ ORIENTATIVĂ Nr. crt. Unitatea de

Școala: Clasa a V-a Nr. ore pe săptămână: 4 Profesor: MATEMATICĂ Clasa a V-a Aviz director PLANIFICARE CALENDARISTICĂ ORIENTATIVĂ Nr. crt. Unitatea de Școala: Clasa a V-a ore pe săptămână: 4 Profesor: MATEMATICĂ Clasa a V-a Aviz director PLANIFICARE CALENDARISTICĂ ORIENTATIVĂ de SEMESTRUL I. Recapitulare, iniţială. Numere - reprezentare comparare, estimare

Mai mult

Paradigme de Programare

Paradigme de Programare Paradigme de Programare Conf. dr. ing. Andrei Olaru andrei.olaru@cs.pub.ro cs@andreiolaru.ro Departamentul de Calculatoare 2019 9 : 1 / 38 Cursul 9 Concluzie Paradigma Funcțională 9 : 2 / 38 Cursul 9:

Mai mult

Lucrarea 10

Lucrarea 10 Lucrarea 10. Studierea facilitatilor senzoriale, de calcul si de comunicatie ale unei placi de tip Arduino 1. Obiectivul lucrarii Lucrarea isi propune sa prezinte facilitatile de calcul, senzoriale si

Mai mult

EW-7416APn v2 & EW-7415PDn Ghid de instalare Macintosh / v2.0 0

EW-7416APn v2 & EW-7415PDn Ghid de instalare Macintosh / v2.0 0 EW-7416APn v2 & EW-7415PDn Ghid de instalare Macintosh 09-2012 / v2.0 0 Ghid introductiv Înainte de a începe folosirea acestui punct de acces, vă rugăm să verificaţi dacă lipseşte ceva din pachet şi să-l

Mai mult

Microsoft Word - Laboratorul 3.doc

Microsoft Word - Laboratorul 3.doc Laboratorul 3 Implementarea interfetelor cu mediul exterior Obiective Acest laborator isi propune sa prezinte modul de realizare a unor interfete cu mediul exterior astfel incat sa se poata trimite date

Mai mult

4. Creşterea vitezei de execuţie a algoritmilor intensiv computaţio-nali cu ajutorul coprocesoarelor ataşate, bazate pe structuri logice reconfigurabi

4. Creşterea vitezei de execuţie a algoritmilor intensiv computaţio-nali cu ajutorul coprocesoarelor ataşate, bazate pe structuri logice reconfigurabi 4. Creşterea vitezei de execuţie a algoritmilor intensiv computaţio-nali cu ajutorul coprocesoarelor ataşate, bazate pe structuri logice reconfigurabile (FPGA). 4.1.Introducere. Având în vedere limitările

Mai mult

Regulile jocului Hotline Hotline este un slot video cu 5 role, 3 rânduri și 30 de linii (fixe) care conține simboluri Wild și Expanding Wild, Re-Spins

Regulile jocului Hotline Hotline este un slot video cu 5 role, 3 rânduri și 30 de linii (fixe) care conține simboluri Wild și Expanding Wild, Re-Spins Regulile jocului Hotline Hotline este un slot video cu 5 role, 3 rânduri și 30 de linii (fixe) care conține simboluri Wild și Expanding Wild, Re-Spins, Free Spins și Hotline Bonus Bet. Jocul este jucat

Mai mult

Laborator 3

Laborator 3 Laborator 3 Programare III săptămâna 8-12.10.2018 OBIECTIVE: - Folosirea modificatorilor unei clase (public, abstract, final) - Folosirea modificatorilor de acces în declaraţiile membrilor unei clase Noţiuni:

Mai mult

PowerPoint-Präsentation

PowerPoint-Präsentation Universitatea Transilvania din Braşov Laboratorul de Vedere Artificială Robustă şi Control Sisteme cu MicroProcesoare Curs 2 Porturi de intrare/ieșire Gigel Măceșanu 1 Cuprins Programarea unui MC Porturi

Mai mult

MergedFile

MergedFile PROIECT DIDACTIC Clasa a VI-a Matematică Proiect didactic realizat de Nicoleta Popa, profesor Digitaliada, revizuit de Ioan Popa, profesor Digitaliada Textul și ilustrațiile din acest document începând

Mai mult

Microsoft Word - Curs_10.doc

Microsoft Word - Curs_10.doc Capitolul 8. Proiectarea logică Scop - construirea unei scheme logice ce reprezintă corect şi eficient toate informaţiile descrise într-o schemă entitate-relaţie Etape: Restructurarea schemei E-R fază

Mai mult

Информационная система персонализации, печати и учета документов об образовании

Информационная система персонализации, печати и учета документов об образовании Sistemul Informaţional Personalizare a Actelor de Studii (SIPAS) 2018-2019 Contacte http://www.ctice.md:8088/gimnaziul Telefon : (022) 44-32-37 actedestudii@ctice.gov.md Conţinutul 1 2 3 4 Locul de muncă

Mai mult

CURS

CURS Capitolul Cuvinte-cheie SOAP, XML, REST, ASP.NET, client web, PHP, NuSOAP IH.12.1. Introducere Ce înseamnă serviciile web? Ele înseamnă invocarea la distanță a metodelor, prin web; limbaje și platforme

Mai mult

Microsoft Word - lab-fr_3

Microsoft Word - lab-fr_3 LUCRAREA 3 Urmarirea conexiunilor (Partea 2) 1 Mecanismul de stare a conexiunii (continuare) 1.1 Conexiuni UDP Conexiunile UDP sunt în sine conexiuni fara stare. Sunt cateva motive pentru care ele sunt

Mai mult

PPSD

PPSD Modele paralele SPMD Modelul SPMD Comunicarea prin mesaje Message Passing Interface Modelul SPMD Modelul SPMD (Single Program Multiple Data) Acesta este un model adecvat calculatoarelor MIMD In cele ce

Mai mult

Microsoft Word - CarteC.doc

Microsoft Word - CarteC.doc Transmiterea parametrilor unei funcții Parametrii se transmit de la funcţia apelantă la funcţia apelată prin intermediul stivei. La apelul unei funcţii, pe stivă se crează o înregistrare de activare, care

Mai mult

Modul Modbus ASCII SISTEME DE COMUNICATIE CURS 5 - Constantinescu Catalin Atunci cand se foloseste modul MODBUS ASCII fiecare octet din mesaj

Modul Modbus ASCII SISTEME DE COMUNICATIE CURS 5 - Constantinescu Catalin Atunci cand se foloseste modul MODBUS ASCII fiecare octet din mesaj 2.3.5.2 Modul Modbus ASCII Atunci cand se foloseste modul MODBUS ASCII fiecare octet din mesaj este trimis ca doua caractere ASCII (de exemplu, octetul 0x7A este transmis ca doua caractere 0x37 = 7, respectiv

Mai mult

Laborator 2 Incompatibilităţi/Diferenţe între C şi C++ Completări C++ Supraîncărcarea (redefinirea) numelui de funcţii În C nu este permisă existenţa

Laborator 2 Incompatibilităţi/Diferenţe între C şi C++ Completări C++ Supraîncărcarea (redefinirea) numelui de funcţii În C nu este permisă existenţa Laborator 2 Incompatibilităţi/Diferenţe între C şi C++ Completări C++ Supraîncărcarea (redefinirea) numelui de funcţii În C nu este permisă existenţa a două funcţii care au acelaşi nume În C++ acest lucru

Mai mult

Ghidul pentru utilizator

Ghidul pentru utilizator Ghidul pentru utilizator Copyright 2013 Hewlett-Packard Development Company, L.P. Bluetooth este o marcă comercială deţinută de proprietarul său şi este utilizată de Hewlett-Packard Company sub licenţă.

Mai mult

Slide 1

Slide 1 1 PROIECTAREA ALGORITMILOR Lect. univ. dr. Adrian Runceanu 1 Curs Alocarea dinamică de memorie în C++ Conţinutul cursului 1. Tipuri de date. Conceptul de pointer 3. Operatori specifici pointerilor 4. Aritmetica

Mai mult

CD180 Romanian quick start guide

CD180 Romanian quick start guide Ghid de iniţiere rapidă CD180 Instrucţiuni importante privind siguranţa Utilizaţi numai sursa de alimentare menţionată în datele tehnice. Evitaţi contactul produsului cu lichide. Risc de explozie în cazul

Mai mult

Manual Utilizare SEPA DD

Manual Utilizare SEPA DD AUTENTIFICAREA SI AUTORIZAREA OPERATIUNILOR IN INTERNET BANKING I. Mobile Token 1. Despre Intesa mtoken Aplicatia Intesa mtoken iti permite sa te autentifici in serviciul de Internet Banking I-B@nk si

Mai mult

carteInvataturaEd_2.0_lectia5.pdf

carteInvataturaEd_2.0_lectia5.pdf Lect ia3 Diagrame Veitch-Karnaugh 5.1 Noţiuni teoretice Diagramele Veich-Karnaugh (V-K) sunt o modalitate de reprezentare grafică a funcţiilor logice. Pentru o funct ie de N variabile, diagrama corespunz

Mai mult

Microsoft Word - versiunea D doc

Microsoft Word - versiunea D doc DECLARATII: versiunea 3200151.05 (15.01.2014) A fost modificata structura de raportare conform OPANAF nr.3806/ 2013 (s-a adaugat numarul de facturi). 3200151.03 (31.10.2013) VERSIUNI ANTERIOARE: Actualizare

Mai mult