REALIZAREA UNUI SISTEM CU COMANDA PROGRAMATĂ. EXEMPLU SCOPUL LUCRĂRII Parcurgerea etapelor de proiectare HW şi SW a unui sistem cu comandă programată

Mărimea: px
Porniți afișarea la pagina:

Download "REALIZAREA UNUI SISTEM CU COMANDA PROGRAMATĂ. EXEMPLU SCOPUL LUCRĂRII Parcurgerea etapelor de proiectare HW şi SW a unui sistem cu comandă programată"

Transcriere

1 REALIZAREA UNUI SISTEM CU COMANDA PROGRAMATĂ. EXEMPLU SCOPUL LUCRĂRII Parcurgerea etapelor de proiectare HW şi SW a unui sistem cu comandă programată pornind de la funcţiile impuse acestuia. 1. DESCRIEREA PROGRAMULUI DE SIMULARE 1.1.Prezentare generală Programul simuleaza funcţionarea unui sistem cu comandă programată realizat cu următoarele blocuri funcţionale: a.- unitatea centrală (UCP): microprocesor, memorie de programe (ROM), memorie de date (RAM), blocul de control al subsistemelor de intrare/ieşire; b.- porturi de intrare/ieşire (pentru a realiza interfata dintre echipamentele de intrare/iesire şi unitatea centrală): - PLED (intrare/ieşire); - PIO (intrare/ieşire); - PEDAF0, PEDAF1 (ieşire); - CTC (contor de timp programabil); c.- dispozitive şi echipamente de intrare/ieşire (dispozitive comandate, prin program, de către UCP prin intermediul porturilor de intrare/ieşire): PEDAF1; - 8 diode LED conectate la portul PLED (L7...L0); - 2 afişoare pe 7 segmente conectate la portul PEDAF0,respectiv - 8 comutatoare (D7...D0) conectate la portul PIO - 1 comutator (STB) pentru generarea întreruperilor asincrone (de la portul PIO); - 1 diodă LED (LINE) conectată la portul PIO; - un "terminal" pentru afişarea de mesaje alfanumerice ("DISPLAY") "conectat" cu unitatea centrală Acest terminal ("DISPLAY") permite afişarea a 8 linii fiecare cu câte 80 caractere; după scrierea celor 8 linii, afişajul terminalului se şterge în mod automat pentru a permite afişarea liniilor următoare (vezi procedurile PRINT, PRINTH, PRINTM ). Circuitul CTC (contor de timp programabil) este utilizat pentru generarea întreruperilor periodice către unitatea centrală. In figura 1 este prezentată schema bloc a sistemului cu comandă programată simulat. Această schemă bloc este afişata pe ecranul calculatorului în momentul apelării programului de simulare. În urma execuţiei unor programe de aplicaţie, starea diodelor LED L7...L0 şi a comutatoarelor D7...D0 este afişată pe ecran; comutatoarele D7...D0, STB pot fi acţionate în orice moment (acţionarea lor fiind analoagă cu cea a unor comutatoare reale ) de la tastele F1 - F9 după cum urmează: F1=D7; F2=D6; F3=D5; F4=D4; F5=D3; F6=D2; F7=D1; F8=D0;F9=STB (stânga-'0' logic; dreapta-'1' logic). 1

2 SISTEME DE TIMP REAL PENTRU TELECOMUNICAŢII LUCRAREA DE LABORATOR NR. 6 Starea LED-urilor, afişoarelor DAF0, DAF1, LINE poate fi modificată prin executarea unor programe de aplicaţii ce conţin instrucţiuni corespunzătoare de lucru cu porturile PLED, PEDAF0, PEDAF1, PIO definite în cadrul programului de simulare. L7 L6 L5 L4 L3 L2 L1 L0 DAF0 DAF1 UCP ROM RAM I/O CONTROL PLED PEDAF0 PEDAF1 CS_PLED CS_PEDAF0 CS_PEDAF1 BD, BA PIO CTC CS_PIO CS_CTC INT Rx/Tx DISPLAY D7 D6 D5 D4 D3 D2 D1 D0 STB LINE 1.2.Structura programului de simulare Figura 1. Schema bloc a SCP simulat Programul de simulare are următoarele module (subprograme) ilustrate în figura subprogram de iniţializare (SCP_INIT) : - permite iniţializarea variabilelor implicite şi eventual declararea şi iniţializarea altor variabile decât cele implicite; - asigură legatura (interfaţa) între subprogramul de aplicaţie (dorit de utilizator) şi subprogramul de grafică ce actualizează starea sistemului cu comandă programată. Acest subprogram (SCP_INIT) trebuie lansat în execuţie numai după scrierea subprogramului de aplicaţie.el constituie punctul de lansare în execuţie a programului de simulare a SCP. 2.- subprograme de aplicaţie (SCP_PRG, SCP_INTP, SCP_INTA): Reprezintă proceduri care conţin aplicaţia dorită de utilizator.aceste subprograme pot utiliza orice instrucţiune PASCAL şi de asemenea, procedurile definite pentru lucrul cu subsistemul de intrare/ieşire: - SCP_PRG - aplicaţia (fără tratarea întreruperilor); - SCP_INTP - rutina de tratare a întreruperilor periodice; - SCP_INTA - rutina de tratare a întreruperilor asincrone. 2

3 REALIZAREA UNUI SISTEM CU COMANDA PROGRAMATĂ. EXEMPLU Toate aceste subprograme sunt scrise utilizând proceduri PASCAL. X PROGRAM DE SIMULARE A SCP X = intrari SCP SCP (hardware) Y Y = iesiri SCP f = functia SCP Y = f ( X ) PC = calculator a) realizarea hardware a SCP X SCP_INIT : - include SCP_UNIT, SCP_INTP, SCP_INTA, SCP_PRG, SCP_GRA, SCP_INTE - [ declaratii variabile ] - initializare variabile - SCP_INTE Y tastatura PC (6) SCP_INTP : - servire intreruperi periodice (2) (6) SCP_INTA : - servire intreruperi asincrone b) simularea SCP (principiu de realizare) (6) SCP_INTE : - initializare grafica - initializare intreruperi - testeaza stare comutatoare - SCP_PRG - SCP_GRA (1) (6) (3) (4) (5) ecran PC SCP_UNIT : - variabile implicite - IN_PIO - IN_LINE - IN_PLED - OUT_PLED - OUT_DAF0 - OUT_DAF1 - SET_CTC - ENI_CTC - ENI_PIO - DIS_CTC - DIS_PIO - DIS_ALL (6) SCP_PRG : - citeste intrari - prelucrare intrari - comanda iesiri SCP_GRA : - actualizeaza starea intrarilor si iesirilor SCP pe ecranul PC c) subprogramele programului de simulare a SCP (1) - iesire din bucla cu ESC (2) - intreruperile trebuie validate in SCP_PRG (3) - instructiuni de intrare (4) - instructiuni de iesire (5) - instructiuni de lucru cu intreruperi (6) - SCP_INTE, SCP_GRA, SCP_PRG, SCP_INTP, SCP_INTA, utilizeaza variabile, functii si proceduri definite in SCP_UNIT Figura 2. Structura simulatorului 3

4 SISTEME DE TIMP REAL PENTRU TELECOMUNICAŢII LUCRAREA DE LABORATOR NR colecţie de proceduri şi funcţii pentru lucrul cu subsistemul de intrare/ieşire al sistemului cu comandă programată (SCP_UNIT): - conţine declaraţia variabilelor implicite: a. - contori: i0 - i9 de tip întreg; b. - variabile: a0 - a9 de tip întreg; c. - registre: z[i,j] cu i,j = 0..49,de tip întreg. Se recomandă a fi utilizate variabilele implicite. intrare/ieşire: - conţine următoarele funcţii si proceduri de lucru cu echipament mentele ele de - funcţii: a.- funcţia IN_PIO: - se citeşte starea comutatoarelor D0...D7 şi se întoarce un număr întreg (comutator acţionat - 1); b.- funcţia IN_PLED: - se citeşte starea LED-urilor L0...L7 şi se întoarce un număr întreg (LED aprins - 1); c.- funcţia IN_LINE: - se citeşte starea LED-ului LINE şi se întoarce un număr întreg (LED-ul LINE aprins -1); - proceduri: a.- CLS - şterge DISPLAY - apel: CLS; b.- PRINTM- afişeaza un mesaj pe DISPLAY - apel: PRINTM ('MESAJ', 0/1) 0/1: se alege 0 dacă nu se trece la linie nouă şi 1 dacă se trece la linie nouă; c.- PRINT - afişeaza o variabilă de tip întreg pe DISPLAY în zecimal - apel: PRINT (v,0/1) 0/1: are semnificaţia de la pct.b; d.- PRINTH- afişeaza o variabilă în hexazeci mal pe - apel: PRINTH (v,0/1); 0/1: are semnificaţia de la pct.b. DISPLAY Pentru toate procedurile de afişare pe DISPLAY,variabila din apel va fi afişată începând din poziţia cea mai din stânga a "ecranului". Opţiunea 0 în apelul acestor proceduri poate fi utilizată numai pentru o singură linie afişată pe tot "ecranul" dacă variabila de afişat nu se modifică. e.- OUT_PLED- "aprinde" LED-urile conectate la portul PLED (corespunzător parametrului procedurii) - apel: OUT_PLED (n), unde n este întreg; f.- OUT_DAF0- "aprinde" segmentele afişorului conectat la portul PEDAF0 4

5 REALIZAREA UNUI SISTEM CU COMANDA PROGRAMATĂ. EXEMPLU (corespunzător parametrului procedurii) - apel:out_daf0(n); g.- OUT_DAF1- "aprinde" segmentele afişoruluiconectat la portul PEDAF1 (corespunzător parametrului procedurii) - apel:out_daf1(n); h.- OUT_LINE- "aprinde" LED-ul LINE corespunzător cu valoarea parametrului - apel:out_line(n); Observaţie: "aprinde" semnifică faptul că LED-urile corespunzătoare vor fi aprinse la următorul apel al subprogramului de grafică SCP_GRA. i.- SET_CTC - setează constanta de timp pentru generarea întreruperilor periodice - apel: SET_CTC (m) Ex.: pentru m=20 se generează întreruperi periodice cu T=1sec. j.- ENI_CTC - validează întreruperile de la CTC - apel: ENI_CTC; k.- ENI_PIO - validează întreruperile de la PIO - apel: ENI_PIO; l.- DIS_CTC - invalidează întreruperile de la CTC - apel: DIS_CTC; m.- DIS_PIO - invalidează întreruperile de la PIO - apel: DIS_PIO; n.- DIS_ALL - invalidează întreruperile de la CTC şi de la PIO. 4.- subprogram de afişare are grafica SCP_GRA: - asigură ştergerea blocului funcţional DISPLAY la apăsarea tastei 'spaţiu'; - asigură actualizarea ecranului calculatorului (starea SCP): comutatoarele D0-D7, LED-urile L0-L7, LINE, cele două afişoare,display; - asigură controlul grafic asupra semnalelor : CS_PLED,CS_PEDAF0/1, CS_PIO, CS_CTC, INT, în modul următor: - stare inactivă a semnalului - culoare "darkgray"; - stare activă a semnalului - culoare "white". Semnificaţiile semnalelor sunt: - CS_PLED - validare port PLED; - CS_PEDAF0/1 - validare port PEDAF0/1; - CS_PIO - validare PIO; - CS_CTC - validare CTC; - INT - tratarea unei cereri de întrerupere 5

6 SISTEME DE TIMP REAL PENTRU TELECOMUNICAŢII LUCRAREA DE LABORATOR NR. 6 În acest mod se pune în evidenţă ori de câte ori se execută o operaţie de intrareieşire sau o cerere de întrerupere. 5.- subprogramul SCP_INTE: - asigură legatura între programele de aplicaţie şi programul grafic care actualizează starea sistemului cu comandă programată pe ecranul calculatorului; - citeşte tastatura pentru a modifica în mod corespunzător starea comutatoarelor D7-D0; codul oricărei taste acţionate de la tastatura calculatorului este memorat în variabila globală "display" de tip caracter.în momentul în care o tastă a fost actionată, codul acesteia este preluat de către calculator prin servirea unei cereri de întrerupere (generate de tastatură) şi depus într-o locaţie de memorie; conţinutul acestei locaţii este citit de subprogramul SCP_INTE. Această modalitate de citire a tastaturii permite simularea acţionării comutatoarelor prin acţionarea unor taste (deoarece nu se aşteaptă apăsarea unei taste de la claviatura calculatorului). - asigură ieşirea din programul de simulare la acţionarea tastei "ESC". Acest subprogram conţine o buclă în care se execută pe rând procedura de aplicaţie SCP_PRG şi procedura de afişare grafică SCP_GRA. Din această cauză aplicaţia SCP_PRG (SCP_INTP, SCP_INTA) nu trebuie să conţină bucle. 1.3.Utilizarea programului de simulare Următoarele subprograme trebuie modificate pentru a realiza o aplicaţie: - SCP_INIT iniţializarea variabilelor; - SCP_PRG (SCP_INTP, SCP_INTA - dacă există întreruperi) pentru a defini aplicaţia. După realizarea modificărilor în aceste subprograme, se lansează în execuţie SCP_INIT care prin intermediul subprogramului SCP_INTE va executa aplicaţia SCP_PRG şi va actualiza starea SCP pe ecranul calculatorului. Dacă s-au programat întreruperi, se vor executa subrutinele specifice de tratare a întreruperilor; şi în acest caz, starea SCP se va actualiza pe ecran. În continuare este prezentat fiecare subprogram de aplicaţie : Subprogramul SCP_INIT: {$i g:\l_scp\scp_unit} {include variabilele, funcţiile şi procedurile predefinite} {$i g:\l_scp\lscp1\scp_intp} {procedura de tratare a întreruperilor periodice} {$i g:\l_scp\lscp1\scp_inta} {procedura de tratare a întreruperilor asincrone} {$i g:\l_scp\scp_gra} {procedura de actualizare grafică a ecranului} {$i g:\l_scp\lscp1\scp_prg} {procedura aplicaţie utilizator} {$i g:\l_scp\scp_inte} {procedura de interfaţă HW - calculator} {declaratii variabile,funcţii,proceduri suplimentare} {iniţializari variabile} scp_inte; {apel program aplicaţie, actualizare grafică, stare HW} end. Subprogramul SCP_PRG: procedure scp_prg; 6

7 REALIZAREA UNUI SISTEM CU COMANDA PROGRAMATĂ. EXEMPLU {instrucţiuni PASCAL şi instucţiuni de intrare - ieşire definite anterior} Subprogramul SCP_INTA: procedure scp_inta; {instructiuni PASCAL si instuctiuni de intrare - ieşire definite anterior} Subprogramul SCP_INTP: procedure scp_intp; {instructiuni PASCAL si instuctiuni de intrare - ieşire definite anterior} Toate liniile de program subliniate trebuie introduse de către utilizator; celelalte linii există şi nu trebuie şterse. 2. DESCRIEREA FUNCŢIILOR SISTEMULUI CU COMANDĂ PROGRAMATĂ Să se realizeze un sistem cu comandă programată cu următoarele funcţii: - citeşte intrările X0, X1, X2, X3; - contorizează toate impulsurile cu durata mai mare de 2sec. care apar pe cele patru intrări; - dacă pe o intrare apar mai mult de trei impulsuri cu durata mai mare decât 2sec., pe ieşirea Oi se generează impulsuri periodice cu durata de 1 sec.; - citirea intrărilor se va face pe durata unei ferestre temporale (marcate de semnalul START/STOP); - la expirarea ferestrei temporale active se afişează, pe două dispozitive alfanumerice, numărul maxim, respectiv numărul minim de impulsuri care au apărut pe intrările Xi. Schema sistemului cu comandă programată este prezentată în fig.3. AF1 CLK X0 X1 X2 X3 SCP O0 O1 O2 O3 Xi Oi nu se numara START / STOP CLK AF2 START / STOP fereastra activa Pulsul hasurat indica faptul ca Oi este in mod repetitiv 1 si 0 logic 7

8 SISTEME DE TIMP REAL PENTRU TELECOMUNICAŢII LUCRAREA DE LABORATOR NR. 6 Figura.3. Schema sistemului cu comandă programată Notaţii: CLK = ceas de citire a intrărilor Xi (pe frontul pozitiv sau negativ) Observaţie: un impuls neterminat la sfârşitul ferestrei temporale, se consideră încheiat. Se cere: - schema hardware a sistemului cu comandă programată; - organigrama programului de comandă. 3. STRUCTURA HARDWARE Structura sistemului cu comandă programată trebuie să conţină, în conformitate cu funcţiile impuse, următoarele elemente: - un port de intrare (pentru citirea intrărilor Xi); - un port de ieşire (pentru generarea ieşirilor Oi); - un controler de întreruperi, dacă programul de comandă utilizează întreruperi; - două porturi de ieşire pentru cele două afişoare; - unitatea centrală de prelucrare (microprocesorul şi circuitele sale auxiliare); - memoria asociată programului şi datelor utilizate de program. Rezultă următoarea schemă bloc generală a sistemului cu comandă programată (figura 4): CLK (IP) IA CINT UCP (START/STOP) X0 X1 X2 X3 PI MEM O0 O1 O2 O3 PO Bus intern PA AF0 AF1 Figura 4. Schema bloc a SCP Notaţii: CINT = controler de întreruperi IP = întrerupere periodică IA = întrerupere asincronă 8

9 REALIZAREA UNUI SISTEM CU COMANDA PROGRAMATĂ. EXEMPLU PI = port de intrare (pentru X0,X1,X2,X3) PO = port de ieşire (pentru O0,O1,O2,O3) UCP = unitatea centrală de prelucrare MEM = memorie PA = porturi de ieşire pentru afişoare AF0,AF1 = afişoare Se presupune că se lucrează cu două nivele de întreruperi: - întreruperi periodice (de la CLK), pentru măsurarea duratelor de timp; - întreruperi asincrone pentru generarea semnalelor START/STOP. 4. REALIZAREA PROGRAMULUI DE COMANDĂ 4.1. Definirea proceselor Se definesc procesele: - P0,P1,P2,P3 - procese care citesc respectiv intrările X0,X1,X2,X3; - P4 - proces care testează apariţia unui număr de impulsuri mai mare decât 3, pe linia de intrare i (i=0,1,2,3) şi generează în mod corespunzător ieşirea Oi; - P5 - procesul de calcul al minimului şi maximului numărului de impulsuri cu durata mai mare de 2 sec. care au apărut pe liniile X0,X1,X2,X3. Cele şase procese definite au grafurile hibride de tranziţii prezentate în figurile 3,4 şi 5.Notaţiile utilizate sunt următoarele: - CNTi - contor de timp pentru lăţimea impulsului curent pe linia i (i=0,1,2,3); - NRi - număr de impulsuri cu durata mai mare de 2 sec. pe linia i (i=0,1,2,3); Perioada întreruperilor periodice este de 1 sec. 9

10 SISTEME DE TIMP REAL PENTRU TELECOMUNICAŢII LUCRAREA DE LABORATOR NR. 6 0 START START 1 Xi Xi 2 Xi CNTi 0 Xi 3 Xi START START Xi * CNTi CNTi +1 nu CNTi >=2? da NRi NRi + 1 CNTi 0 Figura.5. Graful hibrid de tranziţii pentru procesele Pi (i=0,1,2,3) Notaţii: START = fereastra temporală activă /START = fereastra temporală inactivă Xi = intrarea Xi=1 /Xi = intrarea Xi=0 Pentru procesul P4 se presupune că durata de timp în care fereastra temporală este inactivă, este de cel puţin 2sec., pentru a permite generarea corectă a semnalului pe linia Oi. 0 START START pentru i = 0, 3 executa nu NRi >= 3? da scrie "1" pe linia Oi Figura 6. Graful hibrid de tranziţii pentru procesul P4 Dacă NRi >= 3, se scrie "1" pe linia Oi timp de 1sec. (după 1sec. linia Oi trebuie adusă la zero). 10

11 REALIZAREA UNUI SISTEM CU COMANDA PROGRAMATĂ. EXEMPLU 0 START START calculeaza max {NRi} (i = 0, 1, 2, 3) calculeaza min {NRi} (i = 0, 1, 2, 3) afiseaza max {NRi } si min {NRi } Figura.7. Graful hibrid de tranziţii pentru procesul P Organigramele programului de comandă Cele cinci procese (P0,P1,P2,P3,P4) vor fi executate pe rând de către un program de planificare cu diviziune uniformă în timp (se alocă câte o cuantă de timp pentru fiecare proces) Organigrama planificatorului este următoarea: IP : CALL P0; (1sec.) CALL P1; (întreruperi CALL P2; periodice) CALL P3; CALL P4; CALL P5; Revenire în programul principal (RET); În continuare sunt prezentate organigramele pentru fiecare proces în parte: Procesele Pi(i=0,1,2,3) Selecteaza Qi dintre 0: nu START = 1? da Qi 1 Ret 1: citeste port de intrare; selecteaza linia Xi; 11

12 SISTEME DE TIMP REAL PENTRU TELECOMUNICAŢII LUCRAREA DE LABORATOR NR. 6 nu Xi = 0? da Qi 2 Ret 2:citeste port intrare; selecteaza linia Xi nu Xi = 1? da Qi 3 Ret 3: nu START = 0? da citeste port de intrare selecteaza linia Xi nu da Xi = 1? Qi RET 0 CNTi 0 Qi 2 RET CNTi CNTi + 1 nu CNTi >= 2? da NRi NRi + 1 CNTi 0 Qi 2 RET RET 12

13 REALIZAREA UNUI SISTEM CU COMANDA PROGRAMATĂ. EXEMPLU Procesul P4 nu START = 0? da pentru i = 0, 3 executa nu NRi >= 3? da RET flag(i) ( flag(i) + 1 ) mod 2 flag(i) = 1? nu scrie "0" in portul de iesire pe linia Oi scrie "1" in portul de iesire pe linia Oi Procesul P5 RET nu START = 1? da max NR0 min NR0 pentru i=0, 3 executa daca NRi >= max, atunci max daca NRi <= min, atunci min max > 9? da NRi NRi RET max $F scrie in porturile de iesire asociate afisoarelor min, max RET Observaţii: Qi = starea procesului Pi (i=0,1,2,3) START = variabilă care indică faptul că fereastra de timp este activă (START=1) flg(i)= indică faptul că s-a scris "1" pe ieşirea Oi (flg(i)=1).această variabilă este necesară pentru înscrierea pe linia Oi a unui impuls de 1sec. Dacă numărul maxim de impulsuri cu o durată mai mare de 2sec. depăşeşte cifra 9, atunci se marchează acest fapt afişându-se cifra hexazecimala "F". Variabila START este setată în rutina de servire a întreruperii asincrone (IA): 13

14 SISTEME DE TIMP REAL PENTRU TELECOMUNICAŢII LUCRAREA DE LABORATOR NR. 6 IA : nu contor = 0? da START 0 reseteaza CNTi, NRi START 1 contor ( contor + 1 ) mod 2 START comută între 0 şi 1 la fiecare întrerupere asincronă IA. Programul principal are următoarea organigramă: PP : Q0=0; Q1=0; Q2=0; Q3=0; contor=0; flg(0)=0; flg(1)=0; flg(2)=0; flg(3)=0 START=0; CNTi=0; NRi=0; {initializari} programare întreruperi periodice la 1sec. validare întreruperi periodice validare întreruperi asincrone {programare întreruperi} Asteapta intreruperi Programul este următorul: SCP_INIT: {$i g:\l_scp\scp_unit} {include variabilele, functiile si} {procedurile predefinite} procedure proces(i:integer); case z[0,i] of 0: if i1=1 then z[0,i]:=1;{daca start este 1 trec in starea 1} 1: a1:=in_pio;{citesc portul de intrare} a2:=a1 and z[3,i];{selectez linia i} if a2=0 then z[0,i]:=2;{daca linia i este 1 trec in starea 2} 14

15 REALIZAREA UNUI SISTEM CU COMANDA PROGRAMATĂ. EXEMPLU 2: a1:=in_pio; {citesc portul de intrare} a2:=a1 and z[3,i];{selectez linia i} if a2=z[3,i] then z[0,i]:=3;{daca linia i este 1 trec in starea 3} 3: if i1<>0 then {daca start este 1} a1:=in_pio;{citesc portul de intrare} a2:=a1 and z[3,i];{selectez linia i} if a2=z[3,i] then {daca linia i este 1 } z[1,i]:=z[1,i]+1;{incrementez contorul de timp} if z[1,i] >=2 then z[2,i]:=z[2,i]+1;{incrementez contorul de inpulsuri} z[1,i]:=0; {resetez contorul de timp} z[0,i]:=1; {trec in starea 1} if a2=0 then {daca linia i este 0} z[1,i]:=0;{resetez contorul de timp} z[0,i]:=2;{trec in starea 2} end else z[0,i]:=0;{trec in starea 0} {end case} {end proces(i)} procedure proces4(i:integer); if i1<>0 then {daca start este1} if z[2,i]>=3 then {daca numărul de inpulsuri >=3} z[4,i]:=(z[4,i]+1) mod 2;{flag pentru semnalizare intermitenta} if z[4,i]<>1 then {daca flag este 0} a3:=in_pled; a4:=a3 or z[3,i];{selectez led-ul pentru intrarea i} out_pled(a4);{aprind led-ul corespunzator intrării i} end else a3:=in_pled; a4:=a3 and (not z[3,i]);{selectez led-ul pentru intrarea i} out_pled(a4); {sting led-ul corespunzator intrării i} procedure proces5; {calculeaza numărul max si min de impulsuri sosite pe intrări} 15

16 SISTEME DE TIMP REAL PENTRU TELECOMUNICAŢII LUCRAREA DE LABORATOR NR. 6 if i1=0 then a5:=z[2,0]; {max} a6:=z[2,0]; {min} if z[2,1]>=a5 then a5:=z[2,1]; if z[2,2]>=a5 then a5:=z[2,2]; if z[2,3]>=a5 then a5:=z[2,3]; if z[2,1]<=a6 then a6:=z[2,1]; if z[2,2]<=a6 then a6:=z[2,2]; if z[2,3]<=a6 then a6:=z[2,3]; if a5>9 then a5:=15; {pentru un număr mai mare de 9 impulsuri afisez F} out_daf0(z[9,a5]); {afisez maxim} out_daf1(z[9,a6]); {afisez minim} {$i g:\l_scp\lscp4\intp} {procedura de tratare a întreruperilor} {periodice} {$i g:\l_scp\lscp4\inta} {procedura de tratare a întreruperilor} {asincrone} {$i g:\l_scp\scp_gra} {procedura de actualizare grafica a} {ecranului} {$i g:\l_scp\lscp4\prg} {procedura aplicatie utilizator} {$i g:\l_scp\scp_inte} {procedura de interfata HW - calculator} {initializari variabile} z[0,0]:=0; { z[0,i] starea procesului i, cu i de la 0 la 3} z[0,1]:=0; z[0,2]:=0; z[0,3]:=0; i0:=0; {contor întreruperi asincrone} z[3,0]:=1; {masti} z[3,1]:=2; z[3,2]:=4; z[3,3]:=8; z[1,0]:=0;z[1,1]:=0;z[1,2]:=0;z[1,3]:=0; {contori de timp - CNTi} z[2,0]:=0;z[2,1]:=0;z[2,2]:=0;z[2,3]:=0; {numărator de impulsuri - NRi} z[4,0]:=0;z[4,1]:=0;z[4,2]:=0;z[4,3]:=0; {flag pentru afisare} z[9,0]:=$3f;z[9,1]:=6;z[9,2]:=$5b;z[9,3]:=$4f;z[9,4]:=$66; {coduri cifre pentru DAF-uri} z[9,5]:=$6d;z[9,6]:=$7d;z[9,7]:=7;z[9,8]:=$7f;z[9,9]:=$6f; z[9,15]:=$71; i1:=0; {start} scp_inte; {apel program aplicatie, actualizare} end. 16

17 REALIZAREA UNUI SISTEM CU COMANDA PROGRAMATĂ. EXEMPLU SCP_PRG procedure scp_prg; set_ctc(20); eni_ctc; eni_pio; out_pled(i1*128); {afiseaza start} printm(concat(chr(z[2,3]+$30),' ',chr(z[2,2]+$30),' ', chr(z[2,1]+$30),' ',chr(z[2,0]+$30)),1); {afiseaza NR3,NR2,NR1,NR0} SCP_INTP procedure scp_intp; proces(0); proces(1); proces(2); proces(3); proces4(0); proces4(1); proces4(2); proces4(3); proces5; SCP_INTA procedure scp_inta; if i0=0 then z[1,0]:=0;z[1,1]:=0;z[1,2]:=0;z[1,3]:=0; z[2,0]:=0;z[2,1]:=0;z[2,2]:=0;z[2,3]:=0; z[4,0]:=0;z[4,1]:=0;z[4,2]:=0;z[4,3]:=0; i1:=1; end else i1:=0; i0:=(i0+1) mod 2; 17

18 SISTEME DE TIMP REAL PENTRU TELECOMUNICAŢII LUCRAREA DE LABORATOR NR Desfăşurarea lucrării 1. Să se analizeze grafurile de tranziţii pentru fiecare proces definit anterior. 2. Să se execute programul şi să se urmarească funcţionarea sa (conform specificaţiilor impuse la punctul 2). Temă : Să se realizeze o versiune de program fără a utiliza întreruperi sau planificare de procese (cu algoritm specializat). 18

Interfețe și Protocoale de Comunicații Arduino-Port Paralel Arduino. Laborator 1- Portul Paralel 1 Caracteristici generale Arduino UNO este o placă de

Interfețe și Protocoale de Comunicații Arduino-Port Paralel Arduino. Laborator 1- Portul Paralel 1 Caracteristici generale Arduino UNO este o placă de Arduino. Laborator 1- Portul Paralel 1 Caracteristici generale Arduino UNO este o placă de dezvoltare bazată pe un microcontroller ATmega 328P pe 8 biți cu 32kB memorie flash. Placa de dezvoltare conține:

Mai mult

Lucrarea 10

Lucrarea 10 Lucrarea 10. Studierea facilitatilor senzoriale, de calcul si de comunicatie ale unei placi de tip Arduino 1. Obiectivul lucrarii Lucrarea isi propune sa prezinte facilitatile de calcul, senzoriale si

Mai mult

Microsoft Word - 4-Interfete paralele.doc

Microsoft Word - 4-Interfete paralele.doc 4. Cuprins modul 4.1. neprogramabile 4.2.Interfaţa paralelă programabilă 4.3.Protocoale de transfer 4.4.Programarea circuitului de interfaţă paralelă 4.5.Exemplu de implementare Cuprins Introducere După

Mai mult

Înregistrator de temperatură şi umiditate AX-DT100 Instrucţiuni de utilizare

Înregistrator de temperatură şi umiditate AX-DT100 Instrucţiuni de utilizare Înregistrator de temperatură şi umiditate AX-DT100 Instrucţiuni de utilizare Introducere Înregistratorul de temperatură şi umiditate este prevăzut cu un senzor foarte exact de temperatură şi umiditate.

Mai mult

PowerPoint-Präsentation

PowerPoint-Präsentation Universitatea Transilvania din Braşov Laboratorul de Vedere Artificială Robustă şi Control Sisteme cu MicroProcesoare Curs 3 Tiberiu Teodor COCIAȘ 1 Cuprins Aplicație 2 Ce este o întrerupere? 3 Definiție:

Mai mult

Microsoft Word - Laboratorul 3.doc

Microsoft Word - Laboratorul 3.doc Laboratorul 3 Implementarea interfetelor cu mediul exterior Obiective Acest laborator isi propune sa prezinte modul de realizare a unor interfete cu mediul exterior astfel incat sa se poata trimite date

Mai mult

Minicurs CCS C / Aplicatia1 1.Programul CCS C Compiler. Instalare.Creare proiect. Descarcati ultima versiune a programului de

Minicurs CCS C / Aplicatia1   1.Programul CCS C Compiler. Instalare.Creare proiect. Descarcati ultima versiune a programului de Minicurs CCS C / Aplicatia1 www.roboprog.weebly.com 1.Programul CCS C Compiler. Instalare.Creare proiect. Descarcati ultima versiune a programului de la adresa : http://www.ccsinfo.com/compdemo.php Instalati

Mai mult

E_d_Informatica_sp_SN_2014_bar_10_LRO

E_d_Informatica_sp_SN_2014_bar_10_LRO Examenul de bacalaureat naţional 2014 Proba E. d) Informatică Varianta 10 Toate subiectele sunt obligatorii. Se acordă 10 puncte din oficiu. Timpul de lucru efectiv este de 3 ore. În rezolvările cerute,

Mai mult

Microsoft Word - Prezentare - A4 - cernavoda.doc

Microsoft Word - Prezentare - A4 - cernavoda.doc A518 MINIGUARD 1 din 6 1. Prezentare A518 MINIGUARD este destinat monitorizării a 48 intrări digitale şi realizează următoarele funcţii : declanşarea unei alarme acustice (buzzer) şi a unei alarme vizuale

Mai mult

fm

fm Instrucţiuni de operare Display TFT color 1286.. Descrierea dispozitivului Display-ul TFT color aparţine sistemului de videointerfonie al firmei Gira şi serveşte la extinderea staţiilor de interior. Menu

Mai mult

Gestionarea I/E

Gestionarea I/E Gestionarea I/E Apelurile de sistem I/O in Linux si apelurile API de I/E pentru Windows Herea Cristian 431 A 1. Linux Apeluri de sistem (system calls) Sistemele de operare au un nivel suplimentar de interfete

Mai mult

Ch

Ch Numai pentru uz academic EDK Laborator 4-5 Adăugarea modulelor IP la un proiect hardware Laborator 2: Adăugarea modulelor IP la un proiect hardware Introducere Obiective Acest laborator prezintă procesul

Mai mult

Înregistraţi produsul achiziţionat şi veţi putea beneficia de suport pe pagina CD250 CD255 SE250 SE255 Ghid de iniţiere rapidă

Înregistraţi produsul achiziţionat şi veţi putea beneficia de suport pe pagina   CD250 CD255 SE250 SE255 Ghid de iniţiere rapidă Înregistraţi produsul achiziţionat şi veţi putea beneficia de suport pe pagina www.philips.com/welcome CD250 CD255 SE250 SE255 Ghid de iniţiere rapidă 1 Conectare 2 Instalare 3 Utilizare Conţinutul cutiei

Mai mult

SUBPROGRAME

SUBPROGRAME SUBPROGRAME Un subprogram este un ansamblu ce poate conţine tipuri de date, variabile şi instrucţiuni destinate unei anumite prelucrări (calcule, citiri, scrieri). Subprogramul poate fi executat doar dacă

Mai mult

Controlerul LCD

Controlerul LCD Controlerul LCD Controlerul LCD poate comanda direct un afisor de tip tip LCD, generand in mod automat tensiunile de comanda necesare pentru segmente (notate SEG, SP sau S) si electrozii comuni (notati

Mai mult

E_d_Informatica_sp_MI_2015_bar_02_LRO

E_d_Informatica_sp_MI_2015_bar_02_LRO Examenul de bacalaureat naţional 2015 Proba E. d) Informatică Varianta 2 Filiera teoretică, profilul real, specializările: matematică-informatică matematică-informatică intensiv informatică Toate subiectele

Mai mult

MANUAL DE UTILIZARE TERMOSTAT DE CAMERĂ EBERLE INSTAT PLUS 3R Cod produs: I. Instrucţiuni de utilizare Manevrarea produsului (privire de ansamb

MANUAL DE UTILIZARE TERMOSTAT DE CAMERĂ EBERLE INSTAT PLUS 3R Cod produs: I. Instrucţiuni de utilizare Manevrarea produsului (privire de ansamb MANUAL DE UTILIZARE TERMOSTAT DE CAMERĂ EBERLE INSTAT PLUS 3R Cod produs: 611272 I. Instrucţiuni de utilizare Manevrarea produsului (privire de ansamblu) 1. Principiul de funcţionare Termostatul INSTAT

Mai mult

BC-2000 Control Acces

BC-2000 Control Acces BC-2000 Control Acces Introducere BC -2000 foloseste cea mai recentă tehnologie de microprocesor pentru a opera greve de uși și sisteme de securitate care necesită un moment ( temporizat ) sau de blocare

Mai mult

PowerPoint Presentation

PowerPoint Presentation Sistem de Automatizare si Telemetrie Eficient energetic pentru managementul ResurseloR in Agricultura de precizie SA-TERRA BEIA Consult International, www.beia.ro, Bucharest, Romania george@beia.ro Arhitectura

Mai mult

Slide 1

Slide 1 SCTR -SZOKE ENIKO - Curs 6 Maparea memoriei Informatiile (date sau instructiuni) circula pe caile de sistem format din busul de date si busul de adrese si sunt gestionate de unitatile de intrare/iesire.

Mai mult

ep0117

ep0117 EPSICOM Ready Prototyping Coleccț ția Home Automation EP 0117... Cuprins Prezentare Proiect Fișa de Asamblare 1. Funcționare 2 2. Schema 2 3. PCB 3 4. Lista de componente 3 CMOS KEY LOCK COD DE ACCES CU

Mai mult

Microsoft Word _POO_Lab_1_Modificari_v01.htm

Microsoft Word _POO_Lab_1_Modificari_v01.htm Laborator POO - 00 (draft) 00-00 / 0//00 00_POO_Lab Modificari_v0.htm POO Laborator Modificarea programelor Java. Studiu de caz: programul Salut.java. Varianta care utilizeaza un argument al programului

Mai mult

Limbaje de Programare Curs 6 – Functii de intrare-iesire

Limbaje de Programare   Curs 6 – Functii de intrare-iesire Limbaje de Programare Curs 6 Funcţii de intrare-ieşire Dr. Casandra Holotescu Universitatea Politehnica Timişoara Ce discutăm azi... 1 Citire formatată 2 Citirea şirurilor de caractere 3 Citirea unor linii

Mai mult

Slide 1

Slide 1 - Curs 2 - Verilog HDL reprezintă un limbaj utilizat pentru descrierea sistemelor numerice. Sistemele numerice pot fi calculatoare, componenete ale acestora sau alte structure care manipulează informaţie

Mai mult

FILTRE DE REALIZARE CU CIRCUITE DE INTEGRARE

FILTRE DE REALIZARE CU CIRCUITE DE INTEGRARE FILTRE ACTIVE BIQUAD REALIZATE CU CIRCUITE DE INTEGRARE. SCOPUL LUCRĂRII Măsurători asupra unor filtre active biquad de tip RC realizate cu circuite de integrare.. ASPECTE TEORETICE Considerăm funcţia

Mai mult

ALGORITMII ŞI REPREZENTAREA LOR Noţiunea de algoritm Noţiunea de algoritm este foarte veche. Ea a fost introdusă în secolele VIII-IX de către Abu Ja f

ALGORITMII ŞI REPREZENTAREA LOR Noţiunea de algoritm Noţiunea de algoritm este foarte veche. Ea a fost introdusă în secolele VIII-IX de către Abu Ja f ALGORITMII ŞI REPREZENTAREA LOR Noţiunea de algoritm Noţiunea de algoritm este foarte veche. Ea a fost introdusă în secolele VIII-IX de către Abu Ja far Mohammed ibn Musâ al- Khowârizmî în cartea sa intitulată

Mai mult

Platforma 5. 1 Introducere in MSSQL Introducere Pe parcursul ultimilor ani, se poate observa o cuplare a limbajelor de programare majore cu tipuri de

Platforma 5. 1 Introducere in MSSQL Introducere Pe parcursul ultimilor ani, se poate observa o cuplare a limbajelor de programare majore cu tipuri de Platforma 5. 1 Introducere in MSSQL Introducere Pe parcursul ultimilor ani, se poate observa o cuplare a limbajelor de programare majore cu tipuri de baze de date: Microsoft.Net (C# & VB) + Microsoft C++

Mai mult

Advance CAD 2016 SP1 Acest document descrie îmbunătățirile pentru Advance CAD 2016 Service Pack 1. Notă: Advance CAD 2016 Service Pack 1 este compatib

Advance CAD 2016 SP1 Acest document descrie îmbunătățirile pentru Advance CAD 2016 Service Pack 1. Notă: Advance CAD 2016 Service Pack 1 este compatib Advance CAD 2016 SP1 Acest document descrie îmbunătățirile pentru Advance CAD 2016 Service Pack 1. Notă: Advance CAD 2016 Service Pack 1 este compatibil cu Windows 10. NOUTĂȚI 1: IMPLEMENTAREA VARIABILEI

Mai mult

PowerPoint-Präsentation

PowerPoint-Präsentation Universitatea Transilvania din Braşov Laboratorul de Vedere Artificială Robustă şi Control Sisteme cu MicroProcesoare Curs 4 Module de tip timer Tiberiu Teodor COCIAȘ 1 Cuprins Module de tip timer Accesarea

Mai mult

Lucrarea nr

Lucrarea nr Lucrarea nr. 3 Tehnici de programare a aplicaţiilor pe sisteme cu microcontroloare 1. Obiectivul lucrarii Lucrarea urmăreşte studierea tehnicilor de dezvoltare si depanare a programelor scrise pentru sisteme

Mai mult

Lab6LCD

Lab6LCD LABORATOR 6 - Interfaţă pentru afişor cu cristale lichide (LCD), partea II-a Scopul lucrării În laboratorul anterior s-au implementat funcţiile rd_lcdreg(char vrs), wr_lcdreg(char vrs, char data), void

Mai mult

Dispozitiv de deschidere a porţilor batante PKM-C02 Manual de utilizare Atenţie: acest dispozitiv trebuie instalat de către profesionişti calificaţi b

Dispozitiv de deschidere a porţilor batante PKM-C02 Manual de utilizare Atenţie: acest dispozitiv trebuie instalat de către profesionişti calificaţi b Dispozitiv de deschidere a porţilor batante PKM-C02 Manual de utilizare Atenţie: acest dispozitiv trebuie instalat de către profesionişti calificaţi bine pregătiţi conform cu instrucţiunile de siguranţă

Mai mult

Microsoft Word - Plus TV Analog Pro Stick Installation _Rom V1.3_.doc

Microsoft Word - Plus TV Analog Pro Stick  Installation _Rom V1.3_.doc PlusTV Analog Pro Stick Manual de instalare Cuprins V1.3 Capitolul 1 : PlusTV Analog Pro Stick Instalare hardware...1 1.1 Conţinut...2 1.2 Cerinţe de configuraţie...2 1.3 Instalare hardware...2 Chapitre

Mai mult

Biomedical Wi-Fi data transmissons

Biomedical Wi-Fi  data transmissons Ce este WireShark? Introducere in WireShark (indrumar de laborator) Wireshark este un sniffer de pachete gratuit, care captureaza pachetele care sunt vehiculate pe o anumita interfata de retea. Aceasta

Mai mult

Laborator 2 Incompatibilităţi/Diferenţe între C şi C++ Completări C++ Supraîncărcarea (redefinirea) numelui de funcţii În C nu este permisă existenţa

Laborator 2 Incompatibilităţi/Diferenţe între C şi C++ Completări C++ Supraîncărcarea (redefinirea) numelui de funcţii În C nu este permisă existenţa Laborator 2 Incompatibilităţi/Diferenţe între C şi C++ Completări C++ Supraîncărcarea (redefinirea) numelui de funcţii În C nu este permisă existenţa a două funcţii care au acelaşi nume În C++ acest lucru

Mai mult

MIdland CT210 manual

MIdland CT210 manual Manual de utilizare in Limba Romana Statie radio Profesionala Midland CT210 Caracteristici Putere emisie: 4W (VHF/UHF) Canale: 128 Coduri securitate: 50 CTCSS si 104 DCS Putere ajustabila: Da, Hi/Low Vox

Mai mult

1. Operatii cu matrici 1 Cerinte: Sa se realizeze functii pentru operatii cu matrici patratice (de dimensiune maxima 10x10). Operatiile cerute sunt: A

1. Operatii cu matrici 1 Cerinte: Sa se realizeze functii pentru operatii cu matrici patratice (de dimensiune maxima 10x10). Operatiile cerute sunt: A 1. Operatii cu matrici 1 Sa se realizeze functii pentru operatii cu matrici patratice (de dimensiune maxima 10x10). Operatiile cerute sunt: A+B (adunare), aa (inmultire cu scalar), A-B scadere), AT (Transpusa),

Mai mult

Microsoft Word - Excel_3.DOC

Microsoft Word - Excel_3.DOC 4.3. Formatarea datelor. Precizarea formatului de afişare a datelor se efectuează prin meniul Format, comada Cells (care deschide caseta alăturată), eticheta Number, din lista Category, descrisă în continuare

Mai mult

Structura sistemelor de calcul

Structura sistemelor de calcul 1 PROIECTAREA UNEI INTERFEȚE DE INTRARE/IEȘIRE În această lucrare de laborator se va proiecta un controler pentru interfața serială SPI. Acest controler va fi utilizat pentru transmiterea unor comenzi

Mai mult

LABORATOR I

LABORATOR I 1. Scopul lucrării Lucrare de laborator nr. 10 Modelarea maşinilor cu stări finite în VHDL Însuşirea principiilor şi tehnicilor de modelare a circuitelor secvenţiale de tip maşină cu stări finite în VHDL.

Mai mult

Laborator 7: PROIECTAREA BAZELOR DE DATE SUBPROGRAME in PL/SQL (partea I - proceduri) Un subprogram este un bloc PL/SQL cu nume (spre deosebire de blo

Laborator 7: PROIECTAREA BAZELOR DE DATE SUBPROGRAME in PL/SQL (partea I - proceduri) Un subprogram este un bloc PL/SQL cu nume (spre deosebire de blo Laborator 7: PROIECTAREA BAZELOR DE DATE SUBPROGRAME in PL/SQL (partea I - proceduri) Un subprogram este un bloc PL/SQL cu nume (spre deosebire de blocurile anonime) care poate primi parametri şi poate

Mai mult

XL30 Romanian quick start guide

XL30 Romanian quick start guide Înregistraţi-vă produsul şi obţineţi asistenţă la www.philips.com/welcome XL300 XL305 Manual de utilizare pe scurt Instrucţiuni importante privind siguranţa Avertisment Reţeaua electrică este clasificată

Mai mult

1. a. Să se scrie un algoritm care să afişeze toate numerele de patru cifre care au cifra sutelor egală cu o valoare dată k, şi cifra zecilor cu 2 mai

1. a. Să se scrie un algoritm care să afişeze toate numerele de patru cifre care au cifra sutelor egală cu o valoare dată k, şi cifra zecilor cu 2 mai 1. a. Să se scrie un algoritm care să afişeze toate numerele de patru cifre care au cifra sutelor egală cu o valoare dată k, şi cifra zecilor cu 2 mai mare decât cifra sutelor. b. Se consideră algoritmul

Mai mult

Microsoft Word - HMM - Instructiuni de instalare.doc

Microsoft Word - HMM - Instructiuni de instalare.doc HMM Instructiuni de instalare 6 720 604 682 (00.07) Cuprins Cuprins Instrucţiuni de siguranţă 3 Explicaţii simboluri 3 1 Date referitoare la accesorii 4 1.1 Aplicaţie 4 1.2 Volum de livrare 4 1.3 Date

Mai mult

Dot Matrix Display Module and

Dot Matrix Display Module and Erasmus+ KA-202 Proiecte de parteneriate strategice pentru educație și formare profesională Titlul proiectului: "Predarea și învățarea microcontrolerelor prin limbajul PicBasicPro (PBP) în VET" Acronimul

Mai mult

GHERCĂ MAGDA CASA CORPULUI DIDACTIC BRĂILA PORTOFOLIU EVALUARE INFORMATICĂ ȘI TIC PENTRU GIMNAZIU CLASA A V-A Neamț SERIA 1 GRUPA 1 CURSANT: GHERCĂ G

GHERCĂ MAGDA CASA CORPULUI DIDACTIC BRĂILA PORTOFOLIU EVALUARE INFORMATICĂ ȘI TIC PENTRU GIMNAZIU CLASA A V-A Neamț SERIA 1 GRUPA 1 CURSANT: GHERCĂ G CASA CORPULUI DIDACTIC BRĂILA PORTOFOLIU EVALUARE INFORMATICĂ ȘI TIC PENTRU GIMNAZIU CLASA A V-A Neamț SERIA 1 GRUPA 1 CURSANT: GHERCĂ G MAGDA COLEGIUL NAŢIONAL ROMAN-VODĂ ROMAN PROIECTUL UNITĂŢII DE ÎNVĂŢARE

Mai mult

Subiectul 1

Subiectul 1 Subiectul 1 În fişierul Numere.txt pe prima linie este memorat un număr natural n (n

Mai mult

PowerPoint-Präsentation

PowerPoint-Präsentation Universitatea Transilvania din Braşov Laboratorul de Vedere Artificială Robustă şi Control Sisteme cu MicroProcesoare Curs 2 Porturi de intrare/ieșire Gigel Măceșanu 1 Cuprins Programarea unui MC Porturi

Mai mult

PowerPoint Presentation

PowerPoint Presentation Circuite Integrate Digitale Conf. Monica Dascălu Curs Seminar Laborator notă separată Notare: 40% seminar 20% teme // + TEMA SUPLIMENTARA 40% examen 2014 CID - curs 1 2 Bibliografie Note de curs Cursul

Mai mult

a p a r a t u r a f i s c a l a. r o Bucure[ti, Calea C\l\ra[i 242, bl. 77, parter, sector 3 Tel: 021/ , 0722/ ; Fax: 031/ J13/

a p a r a t u r a f i s c a l a. r o Bucure[ti, Calea C\l\ra[i 242, bl. 77, parter, sector 3 Tel: 021/ , 0722/ ; Fax: 031/ J13/ a p a r a t u r a f i s c a l a. r o Bucure[ti, Calea C\l\ra[i 242, bl. 77, parter, sector 3 Tel: 021/327.87.27, 0722/262.116; Fax: 031/418.15.79 J13/970/2002; RO 14560415,,, Constan]a, Str. }epes Vod\

Mai mult

Microsoft Word - Controller pt 32cp0123,140,160-manual_ro .docx

Microsoft Word - Controller pt 32cp0123,140,160-manual_ro .docx DISPLAY DE CONTROL MANUAL DE SERVICE Program activ Ziua si Ora Temperatura setata a cazanului L1.Electrod L2.Alimentare L3.Pompa L11.Termostat de ambient aprindere on cu peleti BUTOANE: ESC Escape On /

Mai mult

Guardian2012_RO3

Guardian2012_RO3 GUARDIAN Terminalul inteligent pentru control acces De mai mulți ani, Zucchetti, principalul jucător pe piața IT din Italia, și-a concentrat atenția asupra analizării și rezolvării problemelor referitoare

Mai mult

Microsoft Word - Laboratorul 5.doc

Microsoft Word - Laboratorul 5.doc Laboratorul 5 Implementarea unui System-on-Chip Obiective Acest laborator isi propune sa prezinte modul de realizare a unui System-on-Chip (SoC). In cadrul laboratorului vor fi prezentate metodele de proiectare

Mai mult

în Tabletă convertibilă GHID DE UTILIZARE 8085 LKB001X CJB1FH002AZA

în Tabletă convertibilă GHID DE UTILIZARE 8085 LKB001X CJB1FH002AZA în Tabletă convertibilă GHID DE UTILIZARE 8085 LKB001X CJB1FH002AZA Cuprins 1 Noțiuni introductive... 1 1.1 Aspect... 1 1.2 Încărcarea tabletei și a tastaturii... 3 1.3 Introducerea cartelelor microsd

Mai mult

Ghid de Referință Explicații sumare ale operațiunilor de rutină HL-L2312D HL-L2357DW HL-L2352DW HL-L2372DN HL-L2375DW Brother recomandă să păstrați ac

Ghid de Referință Explicații sumare ale operațiunilor de rutină HL-L2312D HL-L2357DW HL-L2352DW HL-L2372DN HL-L2375DW Brother recomandă să păstrați ac Ghid de Referință Explicații sumare ale operațiunilor de rutină HL-L2312D HL-L2357DW HL-L2352DW HL-L2372DN HL-L2375DW Brother recomandă să păstrați acest ghid lângă echipamentul Dvs. Brother pentru consultare

Mai mult

Ghid de Referință Explicații sumare ale operațiunilor de rutină HL-L5000D HL-L5100DN HL-L5100DNT HL-L5200DW HL-L5200DWT HL-L6250DN Brother recomandă s

Ghid de Referință Explicații sumare ale operațiunilor de rutină HL-L5000D HL-L5100DN HL-L5100DNT HL-L5200DW HL-L5200DWT HL-L6250DN Brother recomandă s Ghid de Referință Explicații sumare ale operațiunilor de rutină HL-L5000D HL-L5100DN HL-L5100DNT HL-L5200DW HL-L5200DWT HL-L6250DN Brother recomandă să păstrați acest ghid lângă echipamentul Dvs. Brother

Mai mult

‍ Manual Google Cloud Print Versiunea 0 ROM Definiţia notelor În acest manual de utilizare, pentru note este folosit următorul stil: Notele vă informează asupra măsurilor care trebuie luate în anumite situaţii

Mai mult

Universitatea Transilvania Braşov Facultatea de Inginerie Electrică şi Ştiinţa Calculatoarelor Catera de Electronică şi Calculatoare Construcţia şi de

Universitatea Transilvania Braşov Facultatea de Inginerie Electrică şi Ştiinţa Calculatoarelor Catera de Electronică şi Calculatoare Construcţia şi de Universitatea Transilvania Braşov Facultatea de Inginerie Electrică şi Ştiinţa Calculatoarelor Catera de Electronică şi Calculatoare Construcţia şi depanarea PC-urilor 2009.11.23 ş.l. dr. ing. Kertész

Mai mult

Prezentarea PC-ului portabil Ghidul pentru utilizator

Prezentarea PC-ului portabil Ghidul pentru utilizator Prezentarea PC-ului portabil Ghidul pentru utilizator Copyright 2009 Hewlett-Packard Development Company, L.P. Bluetooth este o marcă comercială deţinută de proprietarul său şi utilizată de Compania Hewlett-Packard

Mai mult

SEKA GPRS BUS Modul GPRS BUS nativ S.C. SECPRAL COM SRL Mihai Limbăşan Revizie manual: v iulie 2009 Rezumat Documentul de faţă descrie instalare

SEKA GPRS BUS Modul GPRS BUS nativ S.C. SECPRAL COM SRL Mihai Limbăşan Revizie manual: v iulie 2009 Rezumat Documentul de faţă descrie instalare SEKA GPRS BUS Modul GPRS BUS nativ S.C. SECPRAL COM SRL Mihai Limbăşan Revizie manual: v.11 19 iulie 2009 Rezumat Documentul de faţă descrie instalarea şi utilizarea modulului SEKA GPRS de monitorizare

Mai mult

Textul si imaginile din acest document sunt licentiate Attribution-NonCommercial-NoDerivs CC BY-NC-ND Codul sursa din acest document este licentiat Pu

Textul si imaginile din acest document sunt licentiate Attribution-NonCommercial-NoDerivs CC BY-NC-ND Codul sursa din acest document este licentiat Pu Textul si imaginile din acest document sunt licentiate Attribution-NonCommercial-NoDerivs CC BY-NC-ND Codul sursa din acest document este licentiat Public-Domain Esti liber sa distribui acest document

Mai mult

Microsoft Word - CarteC.doc

Microsoft Word - CarteC.doc INSTRUCŢIUNILE LIMBAJULUI C (2) Instrucţiuni repetitive Instrucţiunea while Instrucţiunea while are formatul: while(expresie) Expresie DA Instrucţiune NU Instrucţiunea while produce în primul rând evaluarea

Mai mult

Modulator FM Bluetooth FMT-B6 TLL Manual de utilizare

Modulator FM Bluetooth FMT-B6 TLL Manual de utilizare Modulator FM Bluetooth FMT-B6 TLL171082-Manual de utilizare INTRODUCERE Acest modulator FM te ajuta sa redai muzica si sa efectuezi apeluri cu ajutorul tehnologiei Bluetooth. Vei putea prelua apeluri in

Mai mult

Microsoft Word - lab1_2007.doc

Microsoft Word - lab1_2007.doc 1. Prezentarea mediului de lucru Matlab Acest prim laborator are drept scop prezentarea principalelor caracteristici ale mediului de lucru Matlab. Vor fi trecute în revistă, pe scurt, principiul de funcţionare,

Mai mult

REVISAL Versiunea: Data release: 15 martie 2016 IMPORTANT! Distribuţia curentă (v6.0.4) a aplicaţiei Revisal conţine nomenclatorul COR ISCO 08 î

REVISAL Versiunea: Data release: 15 martie 2016 IMPORTANT! Distribuţia curentă (v6.0.4) a aplicaţiei Revisal conţine nomenclatorul COR ISCO 08 î REVISAL Versiunea: 6.0.4 Data release: 15 martie 2016 IMPORTANT! Distribuţia curentă (v6.0.4) a aplicaţiei Revisal conţine nomenclatorul COR ISCO 08 în conformitate cu prevederile OMMFPS de modificare

Mai mult

Microsoft Word - L63 FPGA.doc

Microsoft Word - L63 FPGA.doc Programarea hardware-ului reconfigurabil cu modulul LabVIEW 7 FPGA conf. Tom SAVU U.P.B. C.T.A.N.M. Odat cu lansarea noii versiuni LabVIEW 7 Express a mediului su de programare grafic, National Instruments

Mai mult

Microsoft Word - intro_msp430.doc

Microsoft Word - intro_msp430.doc PREZENTAREA GENERALA A ARHITECTURII (TEXAS INSTRUMENTS) Familia de microcontrolere incorporează o unitate centrală (CPU) tip RISC de 16 biţi, periferice specializate, memorie internă de tip ROM şi RAM,

Mai mult

MyBRD Net Ghid practic de utilizare a Dispozitivului token

MyBRD Net Ghid practic de utilizare a Dispozitivului token MyBRD Net Ghid practic de utilizare a Dispozitivului token 1 2 Dispozitiv Token. Ce este el. Este un dispozitiv care calculează o cod unic, cu ajutorul căruia tu, ca utilizator al aplicației MyBRD Net,

Mai mult

Microsoft Word - MANUAL_APP_ROMPOS_V7.docx

Microsoft Word - MANUAL_APP_ROMPOS_V7.docx MANUAL DE UTILIZARE ANCPI CUPRINS 1 Descrierea platformei... 2 2 ROVERS/RTK... 5 2.1 Introducere ROVER... 5 2.2 Introducere abonament ROVER... 6 2.3 Prelungire abonament ROVERS/RTK... 8 2.4 Ștergere rover...

Mai mult

Programarea şi utilizarea calculatoarelor

Programarea şi utilizarea calculatoarelor Universitatea Constantin Brâncuşi din Târgu-Jiu Facultatea de Inginerie Departamentul de Automatică, Energie şi Mediu Programarea calculatoarelor Lect.dr. Adrian Runceanu Curs 6 Instrucţiunile limbajului

Mai mult

Unitatea: Școala Gimnazială Disciplina: Informatică și TIC Programa școlară aprobată cu OMEN nr.3393 din Profesor: prof. Clasa: a V-a A, B

Unitatea: Școala Gimnazială Disciplina: Informatică și TIC Programa școlară aprobată cu OMEN nr.3393 din Profesor: prof. Clasa: a V-a A, B Unitatea: Școala Gimnazială Disciplina: Informatică și TIC Programa școlară aprobată cu OMEN nr.3393 din 28.02.2017 Profesor: prof. Clasa: a V-a A, B Nr. ore= 34 ore Nr. ore/săpt= 1 oră 2017-2018 PLANIFICARE

Mai mult

Ghid utilizare aplicație PARKING BUCUREȘTI Aplicație PARKING BUCUREȘTI 1

Ghid utilizare aplicație PARKING BUCUREȘTI Aplicație PARKING BUCUREȘTI 1 Aplicație PARKING BUCUREȘTI 1 SCOPUL DOCUMENTULUI Acest document reprezintă Manualul de Utilizare al aplicaţiei Parking furnizată de către Compania Municipală Parking. Va servi ca instrument pentru familiarizarea

Mai mult

Operatorii in C Expresii Operatori aritmetici Operatori de asignare Operatori de incrementare si decrementare Operatori relationali Operatori logici O

Operatorii in C Expresii Operatori aritmetici Operatori de asignare Operatori de incrementare si decrementare Operatori relationali Operatori logici O Operatorii in C Expresii Operatori aritmetici Operatori de asignare Operatori de incrementare si decrementare Operatori relationali Operatori logici Operatii pe biti Operatorul conditional Operatori Logici

Mai mult

Caraivan George-Alexandru Grupa 431A Interfața driver-kernel la Linux Introducere Deși pentru unii dintre noi acest lucru poate fi o supriză, cei mai

Caraivan George-Alexandru Grupa 431A Interfața driver-kernel la Linux Introducere Deși pentru unii dintre noi acest lucru poate fi o supriză, cei mai Caraivan George-Alexandru Grupa 431A Interfața driver-kernel la Linux Introducere Deși pentru unii dintre noi acest lucru poate fi o supriză, cei mai specializați dintre noi în domeniul calculatoarelor

Mai mult

PCLPII-C16(9)

PCLPII-C16(9) Programarea Calculatoarelor și Limbaje de Programare - Curs 16 Despre proiect ce s-a studiat? ce se va studia? proiectul tema date de intrare conţinut date de ieşire C9(16)-1 Ce s-a studiat? Instrucţiuni

Mai mult

tehnologii web

tehnologii web Universitatea Constantin Brâncuşi din Târgu-Jiu Facultatea de Inginerie Departamentul de Automatică, Energie şi Mediu Tehnologii Web Lector univ. dr. Adrian Runceanu 1 Curs 7 Limbajul PHP (partea II) 2

Mai mult

SRS-BTS50_QSG_ro

SRS-BTS50_QSG_ro Sistem audio personal Manual de instrucţiuni Ghid de pornire rapidă RO SRS-BTS50 2013 Sony Corporation Fabricat în China Manuale furnizate Ghid de pornire rapidă (acest manual) Prezentul manual vă furnizează

Mai mult

DCP330C_540CN_QSG_ROM.book

DCP330C_540CN_QSG_ROM.book DCP-330C DCP-540CN Ghid de Instalare Rapidă Înainte de a putea utiliza aparatul, trebuie să instalaţi hardware-ul şi apoi software-ul. Citiţi acest Ghid de Instalare Rapidă pentru procedura de setare corectă

Mai mult

Fișă tehnică Servomotoare axiale RV 01 Servomotoarele axiale RV 01 sunt potrivite pentru a controla acțiunea robineților cu 2 sau 3 porturi pentru apl

Fișă tehnică Servomotoare axiale RV 01 Servomotoarele axiale RV 01 sunt potrivite pentru a controla acțiunea robineților cu 2 sau 3 porturi pentru apl Fișă tehnică Servomotoare axiale RV 01 Servomotoarele axiale RV 01 sunt potrivite pentru a controla acțiunea robineților cu 2 sau 3 porturi pentru aplicații de încălzire și răcire. Servomotoarele RV 01

Mai mult

WorkCentre M123/M128, WorkCentre Pro 123/128, CopyCentre C123/128 Ghid de Configurare Rapidă pentru Reţea

WorkCentre M123/M128, WorkCentre Pro 123/128, CopyCentre C123/128 Ghid de Configurare Rapidă pentru Reţea Ghid de Configurare Rapidă pentru Reţea WorkCentre M123/M128 WorkCentre Pro 123/128 701P42078_RO 2004. Toate Drepturile Rezervate. Dreptul de protecţie prin copyright include toate formele şi informaţiile

Mai mult

Lecţia 2 Structura liniară, alternativă şi repetitivă Clasa a V-a Structuri de bază(liniară, alternativă şi repetitivă) Programarea structurată este o

Lecţia 2 Structura liniară, alternativă şi repetitivă Clasa a V-a Structuri de bază(liniară, alternativă şi repetitivă) Programarea structurată este o Structuri de bază(liniară, alternativă şi repetitivă) Programarea structurată este o manieră de concepere a programelor, potrivit unor reguli bine definite şi independent de limbajul de programare. Scopul

Mai mult

FIŞA DISCIPLINEI

FIŞA DISCIPLINEI FIŞA DISCIPLINEI 1. Date despre program 1.1. Instituţia de învăţămînt Universitatea 1 Decembrie 1918 1.2. Facultatea de Ştiinţe Exacte şi Inginereşti 1.3. Departamentul de Ştiinţe Exacte şi Inginereşti

Mai mult

Manual utilizare incubator 8000 oua prepelita

Manual utilizare incubator 8000 oua prepelita ! INCUBATOR OUA Manual de Utilizare Caracteristici tehnice: Temperatura masurata: 0 99 ºC Acuratetea temperaturii masurate: ±0.1 ºC Umiditatea masurata: 0 99% RH Acuratetea umiditatii masurate: ±3% RH

Mai mult

Top

Top PROGRAMARE ORIENTATĂ PE OBIECTE Tratarea excepțiilor O excepție este o eroare care poate să apară la rularea unui program. Exemple: încercarea de deschidere a unui fișier ce nu există depășirea limitelor

Mai mult

Vacon 100 FLOW Application Manual

Vacon 100 FLOW Application Manual vacon 100 flow CONVERTIZOR DE FRECVENTĂ MANUAL APLICAŢIE PREFAŢĂ VACON 3 PREFAŢĂ ID document: DPD01260E Data: 18.3.2016 Versiune software: FW0159V013 DESPRE ACEST MANUAL Drepturile de autor asupra acestui

Mai mult

Ghidul pentru utilizator

Ghidul pentru utilizator Ghidul pentru utilizator Copyright 2013 Hewlett-Packard Development Company, L.P. Bluetooth este o marcă comercială deţinută de proprietarul său şi este utilizată de Hewlett-Packard Company sub licenţă.

Mai mult

Manual de utilizare a Sistemului Informațional al Institutului Național al Justiției (SI INJ) intranet.inj.md Ver.2 Manual de utilizare a Sistemului I

Manual de utilizare a Sistemului Informațional al Institutului Național al Justiției (SI INJ) intranet.inj.md Ver.2 Manual de utilizare a Sistemului I Manual de utilizare a Sistemului Informațional al Institutului Național al Justiției (SI INJ) intranet.inj.md Ver.2 Manual de utilizare a Sistemului Informațional al Institutului Național al Justiției

Mai mult

Informatică aplicată TEST GRILA TEST GRILĂ - REZOLVAT 1.Structura de principiu a unui sistem de calcul contine urmatoarele componente principale: A. u

Informatică aplicată TEST GRILA TEST GRILĂ - REZOLVAT 1.Structura de principiu a unui sistem de calcul contine urmatoarele componente principale: A. u Informatică aplicată TEST GRILA TEST GRILĂ - REZOLVAT 1.Structura de principiu a unui sistem de calcul contine urmatoarele componente principale: A. unitatea de intrarea B. unitatea de memorie interna

Mai mult

INSTITUTUL DE DEZVOLTARE A SOCIETĂŢII INFORMAŢIONLE

INSTITUTUL DE DEZVOLTARE A SOCIETĂŢII INFORMAŢIONLE CUPRINS Introducere... 3 1. Lansarea Expert online... 3 2. Intrarea în sistemul informatic Expert online... 4 3. Meniurile Expert online... 4 3.1. Meniul comun pentru toate rolurile din sistem... 5 3.2.

Mai mult

Modul Modbus ASCII SISTEME DE COMUNICATIE CURS 5 - Constantinescu Catalin Atunci cand se foloseste modul MODBUS ASCII fiecare octet din mesaj

Modul Modbus ASCII SISTEME DE COMUNICATIE CURS 5 - Constantinescu Catalin Atunci cand se foloseste modul MODBUS ASCII fiecare octet din mesaj 2.3.5.2 Modul Modbus ASCII Atunci cand se foloseste modul MODBUS ASCII fiecare octet din mesaj este trimis ca doua caractere ASCII (de exemplu, octetul 0x7A este transmis ca doua caractere 0x37 = 7, respectiv

Mai mult

INFORMATICĂ ŞI MARKETING

INFORMATICĂ ŞI MARKETING CUPRINS CAPITOLUL 1... 7 UTILIZAREA CONCEPTELOR ŞI INSTRUMENTELOR TEHNOLOGIILOR INFORMAŢIEI ŞI COMUNICAŢIEI ÎN ACTIVITATEA DE MARKETING... 7 1.1 IMPACTUL TEHNOLOGIILOR INFORMAŢIEI ŞI COMUNICAŢIEI ASUPRA

Mai mult

Soclu cu temporizator încorporat pentru seria 34 Elevatoare și macarale Mașini de împachetare Semaforizare SЕRIA 93 Mașini de îmbuteliere Depozite gli

Soclu cu temporizator încorporat pentru seria 34 Elevatoare și macarale Mașini de împachetare Semaforizare SЕRIA 93 Mașini de îmbuteliere Depozite gli Soclu cu temporizator încorporat pentru seria 34 Elevatoare și macarale Mașini de împachetare Semaforizare SЕRIA Mașini de îmbuteliere Depozite glisante Panouri de control Tablouri de distribuție, comandă

Mai mult

Slide 1

Slide 1 SCTR -SZOKE ENIKO - Curs 4 continuare curs 3 3. Componentele hard ale unui sistem de calcul in timp real 3.1 Unitatea centrala de calcul 3.1.1 Moduri de adresare 3.1.2 Clase de arhitecturi ale unitatii

Mai mult

1

1 1. Funcţionarea echipamentelor într-o reţea locală Placa de reţea (NIC-Network Interface Card) este o placă cu circuite integrate poate fi preinstalată în calculator sau se montează într-un slot de extensie

Mai mult

Lucrarea 13. Controlul unor procese complexe printr-o platforma de tip Arduino (continuarea lucrarii 12) 1. Obiectivul lucrarii Lucrarea isi propune s

Lucrarea 13. Controlul unor procese complexe printr-o platforma de tip Arduino (continuarea lucrarii 12) 1. Obiectivul lucrarii Lucrarea isi propune s Lucrarea 13. Controlul unor procese complexe printr-o platforma de tip Arduino (continuarea lucrarii 12) 1. Obiectivul lucrarii Lucrarea isi propune sa prezinte modul de implementare a unor aplicatii complexe

Mai mult

Inspiron Specificaţii (Battery)

Inspiron Specificaţii (Battery) Inspiron 20 Seria 3000 Vederi Copyright 2016 Dell Inc. Toate drepturile rezervate. Acest produs este protejat de legile privind drepturile de autor şi drepturile de proprietate intelectuală din SUA şi

Mai mult

Ghidul Utilizatorului GW210

Ghidul Utilizatorului GW210 V1.0 1 Întroducere... 3 1.2 Componente hardware... 3 1.2 Componente software... 3 2 Instalarea GW210... 5 2.1 Conectarea GW210...5 2.2 Conectare iniţială...5 2.3 Configurarea GW210...5 3 Setările GW210

Mai mult

A

A Platforma 1. 1 Introducere A. Initierea unui nou proiect Microsoft Visual Studio este un mediu de dezvoltare software in care se pot realiza programe pentru platformele Windows (Desktop, Mobile + Embedded).

Mai mult

Microsoft Word - 2 ES RO.doc

Microsoft Word - 2 ES RO.doc 2 MULTITASKING 2.1. Preliminarii Este deja foarte bine cunoscut faptul că o programare eficientă în domeniul sistemelor în timp real, în mod evident, vizând aici sistemele în timp real încorporate, este

Mai mult