PROCESOARE NUMERICE DE SEMNAL

Mărimea: px
Porniți afișarea la pagina:

Download "PROCESOARE NUMERICE DE SEMNAL"

Transcriere

1 D(15-0) - Magistrala de date O magistrală de 16 biţi folosită pentru transportul datelor. DAB(15-0) - Magistrala de adrese a memoriei de date O magistrală de 16 biţi care grupează adresele memoriei de date. DP(8-0) - Indicatorul paginii din memoria de date Un registru pe 9 biţi indicând adresa paginii curente. O pagină de date conţine 128 cuvinte de memorie. Există 512 pagini adresabile în spaţiul memoriei de date (unele locaţii sunt rezervate). DRB(15-0) - Magistrala de adrese directe a memoriei de date O magistrală pe 16 biţi care poartă adresa directă pentru memoria de date, care este concatenarea conţinutului registrului DP cu cei mai puţin semnificativi 7 biţi ai instrucţiunii. GREG(7-0) - Registru de alocare a memoriei globale Un registru de memorie pe 8 biţi pentru alocarea mărimii spaţiului memoriei globale. IR(15-0) - Registrul de instrucţiune Un registru pe 16 biţi folosit pentru stocarea instrucţiunii curente în curs de execuţie. IFR(5-0) - Registrul bistabilelor de întrerupere Un registru pe 6 biţi folosit pentru memorarea întreruperilor externe de la utilizator, /INT(2-0), active în low, şi a întreruperilor interne XINT / RINT (transmisie / recepţie prin portul serial) şi TINT (întreruperile de la timer). IFR nu este accesibil prin soft. IMR(5-0) - Registrul de măşti pentru întreruperi Un registru de memorie pe 6 biţi folosit pentru mascarea întreruperilor. MCS(15-0) - Stiva de microapeluri O stivă pe un singur cuvânt care stochează temporar conţinutul lui PFC cât timp PFC este folosit pentru a adresa memoria de date cu mutare pe blocuri (BLKD / BLKP), acumulator-multiplicator (MAC / MACD) şi tabela instrucţiunilor de scriere - citire (TBLR / TBLW). MULT - Multiplicator Un multiplicator paralel de 16 x 16 biţi. PRD(15-0) - Registru de perioadă Un registru de memorie pe 16 biţi folosit pentru reîncărcarea timerului. Procesoare numerice de semnal - Cap. 4 11

2 PFC(15-0) - Numărător de pre-extragere a instrucţiunii Un numărător pe 16 biţi folosit pentru aducerea în avans a instrucţiunilor de program. PFC conţine adresa instrucţiunii curente de adus în avans. Este actualizat când este iniţiat un nou ciclu de prefetch. PFC se foloseşte de asemenea pentru a adresa memoria de date când se folosesc instrucţiuni de mutare pe bloc (BLKD / BLKP), înmulţire cu acumulatorul (MAC / MACD) şi cele de scriere-citire din tabele (TBLR / TBLW). PR(31) - Registrul de produs Un registru pe 32 de biţi folosit pentru stocarea produsului multiplicatorului. Poate fi accesat atât în partea sa superioară, cât şi inferioară, folosind instrucţiunile SPH / SPL (stocare PR High/Low). P(15-0) - Magistrala de program O magistrală pe 16 biţi folosită pentru transportul instrucţiunilor (şi a datelor pentru instrucţiunile MAC şi MACD). PC(15-0) - Numărătorul de program Este un numărător pe 16 biţi folosit pentru adresarea memoriei de program. PC conţine întotdeauna adresa următoarei instrucţiuni de executat. Conţinutul PC este incrementat după decodificarea fiecărei instrucţiuni. La TMS32020, operaţiile realizate de PFC al lui TMS320C25 sunt realizate de către PC. PAB(15-0) - Magistrala adreselor memoriei program O magistrală pe 16 biţi care manipulează adresele memoriei de program. QIR(15-0) - Registrul cozii de aşteptare instrucţiuni Registrul QIR (queue instruction register) este un registru pe 16 biţi folosit pentru stocarea instrucţiunilor aduse în avans. RAM(B0) - RAM (date sau program) Un bloc RAM cu 256 x 16 locaţii configurate fie ca memorie de date, fie ca memorie program. RAM(B1) - RAM (doar de date) Un bloc de memorie RAM de date, organizat în 256 x 16 locaţii. RAM(B2) - RAM (doar de date) Un bloc de memorie RAM de date, organizat în 32 x 16 locaţii. RPTC(7-0) - Contor de repetări Un contor (repeat counter) pe 8 biţi pentru controlul execuţiei repetitve a unei singure instrucţiuni. 12 Procesoare numerice de semnal - Cap. 4

3 DRR(15-0) - Registru de recepţie date port serial Un registru pe 16 biţi pentru memorare al portului serial pentru recepţia datelor. În modul octet, sunt folosiţi numai cei mai puţin semnificativi 8 biţi. DXR(15-0) - Registru de transmisie date port serial Un registru de memorie pe 16 biţi pentru transmisia datelor la portul serial. Numai cei mai puţin semnificativi 8 biţi sunt folosiţi în modul octet. RSR(15-0) - Registrul de deplasare recepţie al portului serial Un registru pe 16 biţi folosit pentru rotirea datelor în portul serial de la pinul RX. Conţinutul RSR este trimis la DRR după ce un transfer serial este terminat complet. RSR nu este accesibil direct prin soft. XSR(15-0) - Registrul de deplasare transmisie al portului serial Registru pe 16 biţi folosit pentru extragerea prin rotire a datelor de la portul serial prin pinul DX. Conţinutul XSR este încărcat în DXR la începutul unei operaţii de transmisie prin portul serial. XSR nu este accesibil direct prin soft. Shifters - Circuite de deplasare Registre de deplasare localizate la intrarea ALU, ieşirea acumulatorului şi ieşirea registrului de produs. Un registru shifter este de asemenea localizat în acumulator. STACK(15-0) - Stiva O stivă hard de 8 x 16 biţi folosită pentru stocarea PC în timpul întreruperilor sau apelurilor de subrutine. De asemenea, pot fi depuse şi culese din stivă valorile lui ACCL şi date din memorie. ST0, ST1(15-0) - Registre de stare Sunt două registre de stare pe 16 biţi care conţin semnale de stare şi biţii de control. TR(15-0) - Registru temporar Un registru pe 16 biţi care poate păstra atât un operand pentru înmulţire, cât şi un cod de rotire (shift code) pentru scalling shifter. TIM(15-0) - Timer Un registru numărător pe 16 biţi pentru controlul temporizărilor. 4.4 Organizarea memoriei TMS320C2x asigură intern un total de 544 de cuvinte de memorie de 16 biţi, sub forma unei memorii RAM pe circuit, din care 288 sunt întotdeauna memorie de date, iar restul de 256 de cuvinte pot fi configurate fie ca memorie de program, fie Procesoare numerice de semnal - Cap. 4 13

4 ca memorie de date. De asemenea, TMS320C2x include 4 Kcuvinte de memorie de program ROM programabilă cu mască Memoria de date Cele 544 de cuvinte de memorie de date RAM sunt împărţite în 3 blocuri separate: B0, B1 şi B2 (vezi Fig.4.4). Fig.4.4 Memoria de date a circuitului TMS320C2x Blocul B0 conţine 256 de cuvinte de memorie RAM, configurabile fie ca memorie de date, fie ca memorie de program, prin instrucţiuni prevăzute în acest scop. Blocurile B1 şi B2 conţin 288 de cuvinte şi sunt întotdeauna memorie de date. Spaţiul de memorie intern de 544 de cuvinte permite lui TMS320C2x să gestioneze un masiv de date de 512 cuvinte (256 cuvinte dacă RAM de pe circuit este folosit ca memorie program), rămânând şi 32 locaţii pentru stocarea altor date intermediare (vezi Harta memoriei). TMS320C2x poate adresa 64 Kcuvinte de memorie de date. Memoria RAM de date de pe circuit şi locaţiile rezervate intern sunt plasate pe harta memoriei pe cele mai de jos 1 Kcuvinte din spaţiul de memorie de date. Memoria de date este direct expandabilă la 64 Kcuvinte, menţinându-se intactă viteza de prelucrare. Linia READY este prevăzută pentru adaptarea la memoriile lente, dar mai ieftine, cum sunt circuitele dinamica (DRAM). 14 Procesoare numerice de semnal - Cap. 4

5 4.4.2 Memoria de program Poate fi constituită din memoria RAM de pe circuit, ROM, sau memorii externe de program de mare viteză care se folosesc la viteza integrală, fără stări de aşteptare. Ca o alternativă, prin linia READY se poate asigura adaptarea procesorului la memoriile mai lente şi mai ieftine (memorii externe). Este disponibil un spaţiu adresabil de memorie de 64 Kcuvinte. Blocul intern RAM B0 poate fi configurat ca memorie program folosind instrucţiuni speciale în acest scop. Execuţia de instrucţiuni din acest bloc poate fi iniţiată după ce spaţiul de memorie a fost reconfigurat (vezi pentru descrierea execuţiei instrucţiunilor folosind diferite configuraţii de memorie). În plus, TMS320C25 este echipat cu 4 Kcuvinte de memorie program ROM, care poate fi programată cu mască la producător cu un program al clientului. Memoria ROM de pe circuit permite execuţia programului la viteza integrală fără a apela la memoria externă de program de mare viteză. Folosirea acestei memorii permite de asemenea magistralei externe de date să fie liberă pentru accesarea memoriei externe de date. Modul de aşezare pe harta memoriei a primelor 4 Kcuvinte din blocul de memorie program pe sau în afara circuitului este selectabil de către utilizator cu ajutorul pinului MP//MC al lui TMS320C25. Acest lucru permite proiectantului să accelereze durata de dezvoltare cu ajutorul unui produs bazat pe TMS320C25 folosind ROM extern, şi reducerea costului, folosind 4K de ROM intern fără reproiectarea cablajului imprimat. Setând MP//MC la high se realizează maparea de memorie program în afara circuitului. MP//MC = low realizează maparea în memoria ROM internă. Bistabilul extern XF poate fi folosit pentru a trece MP//MC în stare de activare dinamică sau dezactivare dinamică a memoriei ROM de pe circuit. Este necesară o oarecare precauţie când se foloseşte comutarea bancurilor de memorie şi o bună înţelegere a funcţionării magistralei pipeline. MP//MC de la TMS320C25 este pentru TMS32020 un pin de alimentare (Vcc). Acest lucru permite substituirea lui TMS320C25 cu TMS32020 cât timp TMS320C25 operează automat în modul microprocesor, asigurându-se astfel compatibilitatea Harta memoriei TMS320C2x suportă 3 spaţii separate de adrese de memorie: - pentru memoria program, - pentru memoria de date, şi Procesoare numerice de semnal - Cap. 4 15

6 - pentru periferice I/O (vezi Fig.4.5) Fig.4.5 Configuraţiile de memorie Aceste spaţii se disting în exterior prin semnalele /PS, /DS şi /IS (selectare program, date şi I/O). Semnalele /PS, /DS, /IS şi /STRB sunt active numai când memoria externă a fost adresată. În timpul unui ciclu de adresare intern, aceste semnale rămân inactive (high), acest lucru prevenind conflictele în adresarea memoriei, când blocul B0 este configurat ca memorie de program. 16 Procesoare numerice de semnal - Cap. 4

7 Blocurile B0, B1 şi B2 sunt compuse în total din 544 cuvinte de memorie RAM. Blocul B0 de memorie RAM de date / programe (256 cuvinte) este rezident în paginile 4 şi 5 a hărţii memoriei de date când este configurat ca RAM de date, şi de la adresele FF00 la FFFF când este configurat ca RAM de programe. Blocul B1 (întotdeauna RAM de date) este rezident în paginile 6 şi 7. Blocul B2 este rezident în cele 32 cuvinte de sus ale paginii 0. Restul paginii 0 este compus din registre mapate în memorie şi locaţii rezervate intern, iar paginile 1-3 ale hărţii de memorie de date sunt locaţii rezervate intern. Locaţiile rezervate intern pot să nu fie folosite pentru stocare, şi conţinutul lor este nedefinit la citire (alte informaţii privind aşezarea registrelor în harta memoriei se vor regăsi în capitolele despre instrucţiuni). Memoria RAM de pe circuit este mapată fie în spaţiul de 64 Kcuvinte de memorie de date sau de memorie program, depinzând de configuraţia memoriei (vezi Fig.4.5). Instrucţiunile CNFD / CNFP sunt folosite pentru configurarea blocului B0 fie ca memorie de date, fie ca memorie program. Instrucţiunea BLKP (mutarea unui bloc din memoria program în memoria de date) poate fi folosită pentru descărcarea informaţiilor de program în blocul B0, când B0 este configurat ca RAM de date. Apoi o instrucţiune CNFP (configurarea blocului ca memorie program) poate fi folosită pentru a converti B0 în RAM de program. Fără să ţină seama de configuraţie, utilizatorul poate executa programe din memoria externă de program. Când este accesată memoria internă de program, liniile de control externe rămân inactive. Resetul configurează B0 ca RM de date. În timpul lucrului pe magistralele interne, când instrucţiunile CNFD sau CNFP sunt folosite pentru a reconfigura blocul B0, există un timp de întârziere până când noua configuraţie devine efectivă. Această întârziere este de un ciclu de fetch dacă execuţia este din RAM-ul de program intern. La TMS32020, are loc o întârziere de un ciclu fetch dacă execuţia este din memoria de program externă. Este foarte important dacă programul se execută de la o locaţie în jurul lui FF00. În consecinţă, o instrucţiune CNFP trebuie să fie plasată la locaţia FEFD în memoria externă dacă execuţia urmează să fie continuată de la prima locaţie în blocul B0. Dacă o instrucţiune CNFP este plasată la locaţia FEFD, iar instrucţiunea de la locaţia FEFF este pe dublu cuvânt, al doilea cuvânt al instrucţiunii va fi adus din prima locaţie în blocul B0. Dacă execuţia este de deasupra locaţiei FF00 şi blocul B0 este reconfigurat, trebuie să ne asigurăm că execuţia se termină la cel mai apropiat punct al noii configuraţii. Procesoare numerice de semnal - Cap. 4 17

8 La TMS320C25, memoria de program ROM de pe circuit este localizată în cele mai puţin semnificative 4 Kcuvinte de memorie program, când sunt selectate de MP//MC = 0. Când MP//MC = 1, cele mai de jos 4 Kcuvinte ale memoriei program sunt externe Registrele mapate în memorie Cele 6 registre mapate în memoria de date sunt prezentate în continuare şi sunt arătate în schema bloc din Fig.4.2. Ele pot fi accesate în aceeaşi manieră cu alte locaţii de memorie de date, cu excepţia faptului că mutarea blocurilor cu instrucţiunea BLKD (mutarea blocului din memoria de date în memoria de date) nu se poate face din registrele mapate în memorie. Locaţie Registru Descriere 0 DRR(15-0) Registru de recepţie al portului serial 1 DXR(15-0) Registru de transmisie al portului serial 2 TIM(15-0) Registru Timer 3 PRD(15-0) Registru de perioadă 4 IMR(5-0) Registrul măştii de întreruperi 5 GREG(7-0) Registrul de alocare a memoriei globale Registrele auxiliare TMS320C2x conţine un banc de registre cu până la 8 registre auxiliare (AR0 - AR7). TMS32020 are 5 registre auxiliare, iar TMS320C25 are 8 astfel de registre. În continuare sunt prezentate funcţiile fiecărui registru şi modul în care este selectat pentru stocare un registru auxiliar. Registrele auxiliare pot fi folosite pentru adresarea indirectă a memoriei de date şi pentru stocarea temporară a datelor. Adresarea indirectă prin registrele auxiliare (vezi Fig.4.6) permite plasarea adresei unui operand (dintr-o instrucţiune) din memoria de date ARP (3 biţi) care este cuprins între 0 şi 7, desemnând respectiv registrele AR0-AR7. Registrele auxiliare şi ARP pot fi încărcate fie din memoria de date, fie direct cu un operand imediat găsit în instrucţiune. Conţinutul acestui registru poate fi de asemenea stocat în memoria de date (vezi programarea în modul de adresare indirect). Bancul registrelor auxiliare (AR0- AR4 la TMS32020 şi AR0-AR7 la TMS320C25) este conectat la ARAU (vezi Fig.4.7). ARAU poate autoindexa registrul auxiliar curent cât timp este adresată o locaţie a memoriei de date. Se poate face indexarea cu + sau -1 sau cu conţinutul lui AR0. Ca rezultat, nu este necesară folosirea CALU pentru accesarea tabelelor cu informaţii, ceea ce lasă liberă CALU pentru alte operaţii. 18 Procesoare numerice de semnal - Cap. 4

9 Fig.4.6 Un exemplu de adresare indirectă cu registru auxiliar Fig.4.7 Bancul registrelor auxiliare Aşa cum se vede în Fig.4.7, AR0 sau cei mai puţin semnificativi 8 biţi ai registrelor instrucţiune pot fi conectaţi la una din intrările ARAU. Cealaltă intrare este alimentată de registrul AR curent (indicat de ARP), care dă spre ARAU conţinutul său. ARAU realizează următoarele funcţii: 1) AR(ARP) + AR0 AR(ARP) ; incrementează AR curent cu valoarea întreagă pe 16 biţi conţinută de AR0 2) AR(ARP) - AR0 AR(ARP) ; decrementează AR curent cu valoarea întreagă pe 16 biţi conţinută de AR0 Procesoare numerice de semnal - Cap. 4 19

10 3) AR(ARP) + 1 AR(ARP) ; incrementează AR curent cu 1 4) AR(ARP) - 1 AR(ARP) ; decrementează AR curent cu 1 5) AR(ARP) AR(ARP) ; AR(ARP) este neschimbat. Pe lângă funcţiile prezentate mai sus, ARAU din TMS320C25 mai realizează următoarele: 6) AR(ARP) + IR(7-0) AR(ARP) ; adună la AR curent o valoare imediată pe 8 biţi 7) AR(ARP) - IR(7-0) AR(ARP) ; scade o valoare imediată pe 8 biţi din AR curent 8) AR(ARP) + rcar0 AR(ARP) ; indexare cu schimbarea biţilor, adună AR0 cu schimbarea lui carry, propagată (reverse-carry propagation) - vezi modul de adresare indirectă 9) AR(ARP) - rcar0 AR(ARP) ; ca mai sus, dar cu scădere. De asemenea, ARAU este folositoare pentru manevrarea adreselor în paralel cu alte operaţii, şi poate servi de asemenea ca o unitate adiţională aritmetică cu scop general, odată ce registrele auxiliare pot comunica direct cu memoria de date. ARAU implementează operaţii aritmetice cu numere fără semn pe 16 biţi, iar CALU implementează operaţii aritmetice pe 32 de biţi, cu numere în complement faţă de 2. Instrucţiunile furnizează salturi dependente de comparaţia registrelor auxiliare indicate de ARP, cu AR0. Instrucţiunile BANZ permit de asemenea folosirea registrelor auxiliare drept contoare pentru bucle. ARB pe 3 biţi (bufferul indicatorului registrelor auxiliare), reprezentat ca în Fig.4.7, permite stocarea conţinutului ARP în cazul apelului de subrutine sau al întreruperilor Moduri de adresare a memoriei TMS320C2x poate adresa în total 64 Kcuvinte de memorie program şi 64 Kcuvinte de memorie de date. Memoria de date de pe circuit este mapată în spaţiul de 64 Kcuvinte de memorie de date. Memoria ROM de pe circuit în TMS320C25 este mapată în spaţiul de memorie program, în modul microcalculator. Harta memoriei, care se schimbă cu configuraţia blocului B0, a fost descrisă în Magistrala de adrese memorie de date DAB pe 16 biţi adresează memoria de date într-unul din următoarele moduri: 1) Pe magistrala directă de adrese DRB, folosind modul de adresare directă (de exemplu ADD>10); 2) Pe magistrala bancului registrelor auxiliare AFB, folosind modul de adresare indirect (de exemplu ADD*). 20 Procesoare numerice de semnal - Cap. 4

11 Operanzii sunt de asemenea adresaţi prin conţinutul numărătorului de program, în modul de adresare imediat. Fig.4.8 ilustrează adresarea operanzilor în modurile direct, indirect şi imediat. Fig.4.8 Metode de adresare a operandului instrucţiunii În modul de ADRESARE DIRECTĂ, cei 9 biţi ai indicatorului paginii de memorie de date DP indică una din cele 512 pagini, fiecare pagină având 128 cuvinte. Adresa memoriei de date (dma), specificată prin cei mai puţin semnificativi 7 biţi ai instrucţiunii, indică cuvântul dorit din pagină. Adresa de pe DRB se formează concatenând cei 9 biţi ai DP cu cei 7 biţi dma. În modul de ADRESARE INDIRECTĂ, registrul auxiliar curent selectat prin ARP(AR(ARP)), prin cei 16 biţi ai săi, adresează memoria de date pe magistrala AFB (a bancului registrelor auxiliare). În timpul cât registrul auxiliar selectat furnizează adresa datei din memoria de date şi data este manevrată de CALU, conţinutul registrului auxiliar poate fi manipulat în ARAU. Modurile de adresare directă şi indirectă sunt descrise în detaliu în Cap.6 ( 6.1). Când se foloseşte un operand imediat, el este conţinut fie în însuşi cuvântul instrucţiune, fie, în cazul unui operand imediat pe 16 biţi, cuvântul este cel imediat următor codului instrucţiunii Transferurile memorie - memorie TMS320C2x permite instrucţiuni pentru mutarea blocurilor de date sau programe şi funcţii pentru mutarea datelor, care pot fi folosite eficient pentru memoria RAM de pe circuit: Instrucţiunea BLKD, mută un bloc în interiorul memoriei de date; Instrucţiunea BLKP, mută un bloc din memoria program în memoria de date. Procesoare numerice de semnal - Cap. 4 21

12 Când se folosesc BLKD sau BLKP cu instrucţiunile repetitive RPT / RPTK, atunci aceste instrucţiuni realizează eficient mutarea blocurilor din memoriile de pe circuit sau din afara acestuia. Implementată în circuitul RAM de pe circuit, funcţia DMOV (data move) la TMS320C2x este echivalentă cu cea de la TMS320C1x. DMOV permite copierea unui cuvânt de la adresa locaţiei curente din memoria de date în circuitul RAM intern la următoarea locaţie superioară în timp ce data din locaţia adresată este operată în acelaşi ciclu (de către CALU). De asemenea, poate fi executată o operaţie ARAU în acelaşi ciclu, când se foloseşte modul de adresare indirectă. Funcţia DMOV este utilă pentru implementarea algoritmilor care folosesc operaţia de întârziere Z la puterea -1, cum ar fi convoluţia sau filtrarea digitală, când datele sunt trecute printr-o fereastră în timp. DMOV se poate folosi oriunde în interiorul blocurilor B0, B1, sau B2. Este continuă în hotarele lui B0, B1, B2, dar nu poate fi folosită cu memoria de date externă. Instrucţiunile MACD (înmulţeşte şi acumulează cu transfer de date) şi LTD (încarcă registrul T, încarcă rezultatul în acumulator şi mută data) folosesc funcţia DMOV. Instrucţiunile TBLR / TBLW (table read / write) permit transferul cuvintelor între spaţiul de program şi de date. TBLR se foloseşte pentru a citi cuvinte de la un ROM intern sau o memorie de program ROM / RAM externă, în memoria RAM de date. TBLW se foloseşte pentru a scrie cuvinte dintr-o memorie internă RAM de date într-o memorie RAM de program externă. 22 Procesoare numerice de semnal - Cap. 4

PROCESOARE NUMERICE DE SEMNAL

PROCESOARE NUMERICE DE SEMNAL 4.5 Unitatea centrală aritmetică şi logică CALU din TMS320C2x conţine un circuit de deplasare şi scalare pe 16 biţi, un multiplicator paralel pe 16 x 16 biţi, o ALU pe 32 de biţi, un acumulator pe 32 biţi

Mai mult

Microsoft Word - 4-Interfete paralele.doc

Microsoft Word - 4-Interfete paralele.doc 4. Cuprins modul 4.1. neprogramabile 4.2.Interfaţa paralelă programabilă 4.3.Protocoale de transfer 4.4.Programarea circuitului de interfaţă paralelă 4.5.Exemplu de implementare Cuprins Introducere După

Mai mult

Interfețe și Protocoale de Comunicații Arduino-Port Paralel Arduino. Laborator 1- Portul Paralel 1 Caracteristici generale Arduino UNO este o placă de

Interfețe și Protocoale de Comunicații Arduino-Port Paralel Arduino. Laborator 1- Portul Paralel 1 Caracteristici generale Arduino UNO este o placă de Arduino. Laborator 1- Portul Paralel 1 Caracteristici generale Arduino UNO este o placă de dezvoltare bazată pe un microcontroller ATmega 328P pe 8 biți cu 32kB memorie flash. Placa de dezvoltare conține:

Mai mult

Adresarea memoriei Modurile de adresare constituie un instrument principal pentru reprezentarea în memorie a imaginii datelor, aşa cum este ace

Adresarea memoriei Modurile de adresare constituie un instrument principal pentru reprezentarea în memorie a imaginii datelor, aşa cum este ace 174 12 Adresarea memoriei Modurile de adresare constituie un instrument principal pentru reprezentarea în memorie a imaginii datelor, aşa cum este aceasta văzută de programatorul în limbaj de nivel înalt.

Mai mult

Microsoft Word - intro_msp430.doc

Microsoft Word - intro_msp430.doc PREZENTAREA GENERALA A ARHITECTURII (TEXAS INSTRUMENTS) Familia de microcontrolere incorporează o unitate centrală (CPU) tip RISC de 16 biţi, periferice specializate, memorie internă de tip ROM şi RAM,

Mai mult

4. Creşterea vitezei de execuţie a algoritmilor intensiv computaţio-nali cu ajutorul coprocesoarelor ataşate, bazate pe structuri logice reconfigurabi

4. Creşterea vitezei de execuţie a algoritmilor intensiv computaţio-nali cu ajutorul coprocesoarelor ataşate, bazate pe structuri logice reconfigurabi 4. Creşterea vitezei de execuţie a algoritmilor intensiv computaţio-nali cu ajutorul coprocesoarelor ataşate, bazate pe structuri logice reconfigurabile (FPGA). 4.1.Introducere. Având în vedere limitările

Mai mult

1

1 1. Funcţionarea echipamentelor într-o reţea locală Placa de reţea (NIC-Network Interface Card) este o placă cu circuite integrate poate fi preinstalată în calculator sau se montează într-un slot de extensie

Mai mult

1. ARHITECTURA MICROPROCESOARELOR 1.1. Microprocesorul. Noțiuni generale Progresele tehnologice și electronice, înregistrate în ultimele decenii, au d

1. ARHITECTURA MICROPROCESOARELOR 1.1. Microprocesorul. Noțiuni generale Progresele tehnologice și electronice, înregistrate în ultimele decenii, au d 1. ARHITECTURA MICROPROCESOARELOR 1.1. Microprocesorul. Noțiuni generale Progresele tehnologice și electronice, înregistrate în ultimele decenii, au determinat apariția microprocesoarelor care a reprezentat

Mai mult

Slide 1

Slide 1 SCTR -SZOKE ENIKO - Curs 3 3. Componentele hard ale unui sistem de calcul in timp real 3.1 Unitatea centrala de calcul 3.1.1 Moduri de adresare 3.1.2 Clase de arhitecturi ale unitatii de calcul 3.2

Mai mult

Caraivan George-Alexandru Grupa 431A Interfața driver-kernel la Linux Introducere Deși pentru unii dintre noi acest lucru poate fi o supriză, cei mai

Caraivan George-Alexandru Grupa 431A Interfața driver-kernel la Linux Introducere Deși pentru unii dintre noi acest lucru poate fi o supriză, cei mai Caraivan George-Alexandru Grupa 431A Interfața driver-kernel la Linux Introducere Deși pentru unii dintre noi acest lucru poate fi o supriză, cei mai specializați dintre noi în domeniul calculatoarelor

Mai mult

Lucrarea 10

Lucrarea 10 Lucrarea 10. Studierea facilitatilor senzoriale, de calcul si de comunicatie ale unei placi de tip Arduino 1. Obiectivul lucrarii Lucrarea isi propune sa prezinte facilitatile de calcul, senzoriale si

Mai mult

Microsoft Word - Prelegere 1 - Bratu C. - Microcontrolerul.doc

Microsoft Word - Prelegere 1 - Bratu C. - Microcontrolerul.doc ARHITECTURA UNOR MICROCONTROLERE DIN CATEGORIA PIC. TEHNICI DE ADRESARE A MEMORIEI. STRATEGII DE INTRARE-IEIRE 1. Microcontroler contra Microprocesor Microprocesorul, este unitatea central de prelucrare

Mai mult

PowerPoint-Präsentation

PowerPoint-Präsentation Universitatea Transilvania din Braşov Laboratorul de Vedere Artificială Robustă şi Control Sisteme cu MicroProcesoare Curs 3 Tiberiu Teodor COCIAȘ 1 Cuprins Aplicație 2 Ce este o întrerupere? 3 Definiție:

Mai mult

Cartelele telefonice

Cartelele telefonice Cartelele telefonice Mr.instr. Gheorghe OLAN Generalităţi De la mijlocul anilor 80 a apărut o nouă generaţie de carduri (aşanumitele carduri inteligente sau carduri cu cipuri), care au înlocuit majoritatea

Mai mult

PowerPoint-Präsentation

PowerPoint-Präsentation Universitatea Transilvania din Braşov Laboratorul de Vedere Artificială Robustă şi Control Sisteme cu MicroProcesoare Curs 2 Porturi de intrare/ieșire Gigel Măceșanu 1 Cuprins Programarea unui MC Porturi

Mai mult

Slide 1

Slide 1 SCTR -SZOKE ENIKO - Curs 4 continuare curs 3 3. Componentele hard ale unui sistem de calcul in timp real 3.1 Unitatea centrala de calcul 3.1.1 Moduri de adresare 3.1.2 Clase de arhitecturi ale unitatii

Mai mult

Kein Folientitel

Kein Folientitel S.C East Electric S.R.L distribuitor Balluff în Romania. Balluff producător de senzori, şi traductoare, cu peste 40 ani de experienţă Firma a fost creată în 1921, experienţa în domeniul senzorilor începând

Mai mult

Controlerul LCD

Controlerul LCD Controlerul LCD Controlerul LCD poate comanda direct un afisor de tip tip LCD, generand in mod automat tensiunile de comanda necesare pentru segmente (notate SEG, SP sau S) si electrozii comuni (notati

Mai mult

Sisteme de calcul în timp real

Sisteme de calcul în timp real Laboratorul nr. 6 Concluzii, recapitulare, recuperare, încheierea situației pentru laborator http://epe.utcluj.ro/index.php/sisteme-de-calcul-in-timp-real/ Ing. mast.: Pintilie Lucian Nicolae E-mail: Lucian.Pintilie@emd.utcluj.ro

Mai mult

1. Operatii cu matrici 1 Cerinte: Sa se realizeze functii pentru operatii cu matrici patratice (de dimensiune maxima 10x10). Operatiile cerute sunt: A

1. Operatii cu matrici 1 Cerinte: Sa se realizeze functii pentru operatii cu matrici patratice (de dimensiune maxima 10x10). Operatiile cerute sunt: A 1. Operatii cu matrici 1 Sa se realizeze functii pentru operatii cu matrici patratice (de dimensiune maxima 10x10). Operatiile cerute sunt: A+B (adunare), aa (inmultire cu scalar), A-B scadere), AT (Transpusa),

Mai mult

Modul Modbus ASCII SISTEME DE COMUNICATIE CURS 5 - Constantinescu Catalin Atunci cand se foloseste modul MODBUS ASCII fiecare octet din mesaj

Modul Modbus ASCII SISTEME DE COMUNICATIE CURS 5 - Constantinescu Catalin Atunci cand se foloseste modul MODBUS ASCII fiecare octet din mesaj 2.3.5.2 Modul Modbus ASCII Atunci cand se foloseste modul MODBUS ASCII fiecare octet din mesaj este trimis ca doua caractere ASCII (de exemplu, octetul 0x7A este transmis ca doua caractere 0x37 = 7, respectiv

Mai mult

Ch

Ch Numai pentru uz academic EDK Laborator 4-5 Adăugarea modulelor IP la un proiect hardware Laborator 2: Adăugarea modulelor IP la un proiect hardware Introducere Obiective Acest laborator prezintă procesul

Mai mult

SSC-Impartire

SSC-Impartire Adunarea Înmulțirea Numere și operații în virgulă mobilă 1 Împărțirea cu refacerea restului parțial Împărțirea fără refacerea restului parțial 2 Primul operand: deîmpărțit (X) Al doilea operand: împărțitor

Mai mult

ALGORITMII ŞI REPREZENTAREA LOR Noţiunea de algoritm Noţiunea de algoritm este foarte veche. Ea a fost introdusă în secolele VIII-IX de către Abu Ja f

ALGORITMII ŞI REPREZENTAREA LOR Noţiunea de algoritm Noţiunea de algoritm este foarte veche. Ea a fost introdusă în secolele VIII-IX de către Abu Ja f ALGORITMII ŞI REPREZENTAREA LOR Noţiunea de algoritm Noţiunea de algoritm este foarte veche. Ea a fost introdusă în secolele VIII-IX de către Abu Ja far Mohammed ibn Musâ al- Khowârizmî în cartea sa intitulată

Mai mult

Microsoft Word - 2 ES RO.doc

Microsoft Word - 2 ES RO.doc 2 MULTITASKING 2.1. Preliminarii Este deja foarte bine cunoscut faptul că o programare eficientă în domeniul sistemelor în timp real, în mod evident, vizând aici sistemele în timp real încorporate, este

Mai mult

Slide 1

Slide 1 Arhitectura Sistemelor de Calcul Curs 8 Universitatea Politehnica Bucuresti Facultatea de Automatica si Calculatoare cs.pub.ro curs.cs.pub.ro Structura SIMD Cuprins Probleme de Comunicatii intre Procesoarele

Mai mult

Microsoft Word - Lucrarea_10_t.doc

Microsoft Word - Lucrarea_10_t.doc Lucrarea 0 Sinteza Dispozitivelor de Înmulţire în Radix Superior Lucrarea urmăreşte o abordare practică, din punctul de vedere al designerului hardware, a problematicii sintezei dispozitivelor hardware

Mai mult

Înregistrator de temperatură şi umiditate AX-DT100 Instrucţiuni de utilizare

Înregistrator de temperatură şi umiditate AX-DT100 Instrucţiuni de utilizare Înregistrator de temperatură şi umiditate AX-DT100 Instrucţiuni de utilizare Introducere Înregistratorul de temperatură şi umiditate este prevăzut cu un senzor foarte exact de temperatură şi umiditate.

Mai mult

Universitatea Transilvania Braşov Facultatea de Inginerie Electrică şi Ştiinţa Calculatoarelor Catera de Electronică şi Calculatoare Construcţia şi de

Universitatea Transilvania Braşov Facultatea de Inginerie Electrică şi Ştiinţa Calculatoarelor Catera de Electronică şi Calculatoare Construcţia şi de Universitatea Transilvania Braşov Facultatea de Inginerie Electrică şi Ştiinţa Calculatoarelor Catera de Electronică şi Calculatoare Construcţia şi depanarea PC-urilor 2009.11.23 ş.l. dr. ing. Kertész

Mai mult

L4. TEOREMELE ALGEBREI BINARE. FUNCȚII LOGICE ELEMENTARE. OPERAȚII LOGICE PE BIT. SINTEZA FUNCȚIILOR LOGICE DIN TABELE DE ADEVĂR 1. Obiective Prin par

L4. TEOREMELE ALGEBREI BINARE. FUNCȚII LOGICE ELEMENTARE. OPERAȚII LOGICE PE BIT. SINTEZA FUNCȚIILOR LOGICE DIN TABELE DE ADEVĂR 1. Obiective Prin par L4. TEOREMELE LGEBREI BINRE. FUNCȚII LOGICE ELEMENTRE. OPERȚII LOGICE PE BIT. SINTEZ FUNCȚIILOR LOGICE DIN TBELE DE DEVĂR 1. Obiective Prin parcurgerea acestei ședințe de laborator studenții vor fi capabili:

Mai mult

Operatorii in C Expresii Operatori aritmetici Operatori de asignare Operatori de incrementare si decrementare Operatori relationali Operatori logici O

Operatorii in C Expresii Operatori aritmetici Operatori de asignare Operatori de incrementare si decrementare Operatori relationali Operatori logici O Operatorii in C Expresii Operatori aritmetici Operatori de asignare Operatori de incrementare si decrementare Operatori relationali Operatori logici Operatii pe biti Operatorul conditional Operatori Logici

Mai mult

PowerPoint-Präsentation

PowerPoint-Präsentation Universitatea Transilvania din Braşov Laboratorul de Vedere Artificială Robustă şi Control Sisteme cu MicroProcesoare Curs 05 Convertorul analog numeric Tiberiu Teodor COCIAȘ 1 Cuprins Generalității Principiu

Mai mult

PowerPoint-Präsentation

PowerPoint-Präsentation Universitatea Transilvania din Braşov Laboratorul de Vedere Artificială Robustă şi Control Sisteme cu MicroProcesoare Curs 4 Module de tip timer Tiberiu Teodor COCIAȘ 1 Cuprins Module de tip timer Accesarea

Mai mult

PowerPoint Presentation

PowerPoint Presentation Utilitarul Measurements and Automation Explorer 1 2 3 4 5 Traditional NI-DAQ Version 6.9.3 7.0 7.0.1 7.1 7.2 7.3 7.4 7.4.1 7.4.4 7.5 LabVIEW Version 5.0.1 5.1 5.1.2 6.0 6.1 7.0 7.1 8.0 8.2 8.5 8.6 2009

Mai mult

Microsoft Word - O problema cu bits.doc

Microsoft Word - O problema cu bits.doc O problemă cu bits 1 Tiberiu Socaciu Enunţul Pe pagina Proful de Mate de pe Facebook 2 am primit de la un elev de clasa a IX-a următoarea provocare 3 : Vom oferi două soluţii, una folosind manipulări de

Mai mult

Sisteme cu FPGA şi Soft Processors

Sisteme cu FPGA şi Soft Processors Sisteme cu FPGA şi Soft Processors System On a Chip număr componente spaţiu pe placă SoC interconexiuni latenţă complexitate placă consum electric realizare: Off-the-shelf: AP7000 ASIC FPGA CPU display

Mai mult

Microsoft Word - 1-Introducere.doc

Microsoft Word - 1-Introducere.doc 1. Cuprins Cuprins modul 1.1. DefiniŃii. Istorie: prima comunicańie cu protocol 1.2. InterfeŃe paralele şi seriale 1.3. Verificarea corectitudinii datelor transmise cu bit de paritate 1.4. olul unui buffer

Mai mult

Slide 1

Slide 1 SCTR -SZOKE ENIKO - Curs 6 Maparea memoriei Informatiile (date sau instructiuni) circula pe caile de sistem format din busul de date si busul de adrese si sunt gestionate de unitatile de intrare/iesire.

Mai mult

PowerPoint Presentation

PowerPoint Presentation Circuite Integrate Digitale Conf. Monica Dascălu Curs Seminar Laborator notă separată Notare: 40% seminar 20% teme // + TEMA SUPLIMENTARA 40% examen 2014 CID - curs 1 2 Bibliografie Note de curs Cursul

Mai mult

LUMINIŢA SCRIPCARIU

LUMINIŢA SCRIPCARIU ANEXA A PROGRAMAREA SERVICIILOR INTERNET Programarea serviciilor de reţea implică o cunoaştere amănunţită a modului în care circulă datele prin Internet şi, mai precis, a protocoalelor din suita TCP/IP

Mai mult

Microsoft Word - IngineriF_A.DOC

Microsoft Word - IngineriF_A.DOC Se considera v BE 0.6V in conductie si β00. Pentru v I.6+0.05sinωt [V], tensiunea este : +0V R C 5K v I v BE 0.5mA 0V C a 7.50.3sinωt [V] c.5.5sinωt [V] b 7.5.5sinωt [V] d.60.05sinωt [V] Se cunoaste β00

Mai mult

VLT® AQUA Cascade Contr. Manual SW1.00

VLT® AQUA Cascade Contr. Manual SW1.00 Conţinut Conţinut 1. Siguranţă şi precauţii 3 Instrucţiuni de tehnica securităţii 3 Evitarea pornirii accidentale 3 Avertisment general 4 2. Introducere 5 Descriere generală 5 3. Configuraţii acceptate

Mai mult

Limbaje de Programare Curs 6 – Functii de intrare-iesire

Limbaje de Programare   Curs 6 – Functii de intrare-iesire Limbaje de Programare Curs 6 Funcţii de intrare-ieşire Dr. Casandra Holotescu Universitatea Politehnica Timişoara Ce discutăm azi... 1 Citire formatată 2 Citirea şirurilor de caractere 3 Citirea unor linii

Mai mult

Microsoft Word - CarteC.doc

Microsoft Word - CarteC.doc Transmiterea parametrilor unei funcții Parametrii se transmit de la funcţia apelantă la funcţia apelată prin intermediul stivei. La apelul unei funcţii, pe stivă se crează o înregistrare de activare, care

Mai mult

PowerPoint Presentation

PowerPoint Presentation 1 Toate erorile unui circuit de eşantionare-memorare se pot deduce cantitativ din specificaţiile tehnice ale circuitului, cu excepţia erorii generate de timpul de apertură, fiindcă această eroare este

Mai mult

Înregistraţi produsul achiziţionat şi veţi putea beneficia de suport pe pagina CD250 CD255 SE250 SE255 Ghid de iniţiere rapidă

Înregistraţi produsul achiziţionat şi veţi putea beneficia de suport pe pagina   CD250 CD255 SE250 SE255 Ghid de iniţiere rapidă Înregistraţi produsul achiziţionat şi veţi putea beneficia de suport pe pagina www.philips.com/welcome CD250 CD255 SE250 SE255 Ghid de iniţiere rapidă 1 Conectare 2 Instalare 3 Utilizare Conţinutul cutiei

Mai mult

Paradigme de Programare

Paradigme de Programare Paradigme de Programare Conf. dr. ing. Andrei Olaru andrei.olaru@cs.pub.ro cs@andreiolaru.ro Departamentul de Calculatoare 2019 9 : 1 / 38 Cursul 9 Concluzie Paradigma Funcțională 9 : 2 / 38 Cursul 9:

Mai mult

CD180 Romanian quick start guide

CD180 Romanian quick start guide Ghid de iniţiere rapidă CD180 Instrucţiuni importante privind siguranţa Utilizaţi numai sursa de alimentare menţionată în datele tehnice. Evitaţi contactul produsului cu lichide. Risc de explozie în cazul

Mai mult

Guardian2012_RO3

Guardian2012_RO3 GUARDIAN Terminalul inteligent pentru control acces De mai mulți ani, Zucchetti, principalul jucător pe piața IT din Italia, și-a concentrat atenția asupra analizării și rezolvării problemelor referitoare

Mai mult

untitled

untitled Ghid de referinţă rapidă Xi4 Utilizaţi acest ghid când folosiţi imprimanta zilnic. Pentru informaţii mai detaliate, consultaţi Ghidul utilizatorului. Componentele imprimantei Figura prezintă componentele

Mai mult

Microsoft Word - Laboratorul 3.doc

Microsoft Word - Laboratorul 3.doc Laboratorul 3 Implementarea interfetelor cu mediul exterior Obiective Acest laborator isi propune sa prezinte modul de realizare a unor interfete cu mediul exterior astfel incat sa se poata trimite date

Mai mult

Microsoft Word - Plus TV Analog Pro Stick Installation _Rom V1.3_.doc

Microsoft Word - Plus TV Analog Pro Stick  Installation _Rom V1.3_.doc PlusTV Analog Pro Stick Manual de instalare Cuprins V1.3 Capitolul 1 : PlusTV Analog Pro Stick Instalare hardware...1 1.1 Conţinut...2 1.2 Cerinţe de configuraţie...2 1.3 Instalare hardware...2 Chapitre

Mai mult

Minicurs CCS C / Aplicatia1 1.Programul CCS C Compiler. Instalare.Creare proiect. Descarcati ultima versiune a programului de

Minicurs CCS C / Aplicatia1   1.Programul CCS C Compiler. Instalare.Creare proiect. Descarcati ultima versiune a programului de Minicurs CCS C / Aplicatia1 www.roboprog.weebly.com 1.Programul CCS C Compiler. Instalare.Creare proiect. Descarcati ultima versiune a programului de la adresa : http://www.ccsinfo.com/compdemo.php Instalati

Mai mult

AVS5010.indd

AVS5010.indd Emiţător / receptor AV fără cablu 2.4 GHz Instrucţiuni de utilizare (Citiţi cu atenţie înainte de utilizare!) AVS5010 011 0336 Instrucţiuni importante de securitate Dacă aparatul este utilizat corespunzător,

Mai mult

Gestionarea I/E

Gestionarea I/E Gestionarea I/E Apelurile de sistem I/O in Linux si apelurile API de I/E pentru Windows Herea Cristian 431 A 1. Linux Apeluri de sistem (system calls) Sistemele de operare au un nivel suplimentar de interfete

Mai mult

XL30 Romanian quick start guide

XL30 Romanian quick start guide Înregistraţi-vă produsul şi obţineţi asistenţă la www.philips.com/welcome XL300 XL305 Manual de utilizare pe scurt Instrucţiuni importante privind siguranţa Avertisment Reţeaua electrică este clasificată

Mai mult

Arhitectura calculatoarelor. Indrumator de laborator

Arhitectura calculatoarelor. Indrumator de laborator Florin ONIGA Mihai NEGRU ARHITECTURA CALCULATOARELOR Îndrumător de laborator Editura UTPRESS Cluj-Napoca, 2019 ISBN 978-606-737-350-9 Editura U.T.PRESS Str.Observatorului nr. 34 400775 Cluj-Napoca Tel.:0264-401.999

Mai mult

L7

L7 Lucrarea de laborator nr. 7 POINTERI 1. Conţinutul lucrării În lucrare se prezintă tipul pointer, operaţiile permise asupra pointerilor, modul de alocare şi eliberare dinamică a memoriei. 2. Consideraţii

Mai mult

Structura sistemelor de calcul

Structura sistemelor de calcul 1 PROIECTAREA UNEI INTERFEȚE DE INTRARE/IEȘIRE În această lucrare de laborator se va proiecta un controler pentru interfața serială SPI. Acest controler va fi utilizat pentru transmiterea unor comenzi

Mai mult

Microsoft PowerPoint - TDRC_II-10-TCP.ppt

Microsoft PowerPoint - TDRC_II-10-TCP.ppt TCP & UDP Curs 10 TCP si UDP Transmission Control Protocol Connection oriented RFC 793 User Datagram Protocol (UDP) Connectionless RFC 768 Corneliu Zaharia 2 Corneliu Zaharia Servicii TCP Comunicaţie sigură

Mai mult

Platformăde e-learning și curriculăe-content pentru învățământul superior tehnic Sisteme de operare 13. Planificarea proceselor

Platformăde e-learning și curriculăe-content pentru învățământul superior tehnic Sisteme de operare 13. Planificarea proceselor Platformăde e-learning și curriculăe-content pentru Sisteme de operare 13. Planificarea proceselor Suport curs OSC MOS Capitolul 5 CPU Scheduling Capitolul 2 Processes and Threads Secțiunea 5 Scheduling

Mai mult

‍ Manual Google Cloud Print Versiunea 0 ROM Definiţia notelor În acest manual de utilizare, pentru note este folosit următorul stil: Notele vă informează asupra măsurilor care trebuie luate în anumite situaţii

Mai mult

Secţiunea 7-8 începători Concurs online de informatică Categoria PROGRAMARE PROBLEMA 1 ID 100 puncte Calculatoarele trebuie să se recunoască în rețeau

Secţiunea 7-8 începători Concurs online de informatică Categoria PROGRAMARE PROBLEMA 1 ID 100 puncte Calculatoarele trebuie să se recunoască în rețeau PROBLEMA ID 00 puncte Calculatoarele trebuie să se recunoască în rețeaua de Internet printr-un ID. În prezent, există metode de identificare a ID-ului folosite la scară globală: IPv4 și IPv6. Adresele

Mai mult

Sistem de supraveghere video inteligent cu localizarea automata a evenimentelor de interes SCOUTER, cod proiect PN-II-IN-DPST , contract nr

Sistem de supraveghere video inteligent cu localizarea automata a evenimentelor de interes SCOUTER, cod proiect PN-II-IN-DPST , contract nr -Rezumat- ETAPA II: Algoritmi de procesare si analiza a continutului video - Raport stiintific si tehnic - 1. Introducere In ultimele doua decenii volumul de date achizitionat a cunoscut o rata exponentiala

Mai mult

SEKA GPRS BUS Modul GPRS BUS nativ S.C. SECPRAL COM SRL Mihai Limbăşan Revizie manual: v iulie 2009 Rezumat Documentul de faţă descrie instalare

SEKA GPRS BUS Modul GPRS BUS nativ S.C. SECPRAL COM SRL Mihai Limbăşan Revizie manual: v iulie 2009 Rezumat Documentul de faţă descrie instalare SEKA GPRS BUS Modul GPRS BUS nativ S.C. SECPRAL COM SRL Mihai Limbăşan Revizie manual: v.11 19 iulie 2009 Rezumat Documentul de faţă descrie instalarea şi utilizarea modulului SEKA GPRS de monitorizare

Mai mult

Microsoft Word - Curs1.docx

Microsoft Word - Curs1.docx 1. REPREZENTAREA INFORMAȚIILOR ÎN CALCULATOR 1.1. CONCEPTUL DE DATĂ ȘI INFORMAȚIE Datele desemnează elementele primare, provenind din diverse surse, fără o formă organizată care să permită luarea unor

Mai mult

LABORATOR 2

LABORATOR 2 LABORATOR Reprezentarea Numerelor Sisteme de Calcul Cuprins Sisteme de calcul, componenta hardware și software; Funcționarea unității de procesare; Reprezentarea informației; Reprezentarea numerelor în

Mai mult

PowerPoint Presentation

PowerPoint Presentation Electronică Analogică Redresoare Redresoare polifazate, comandate redresoarele comandate permit reglarea tensiunii şi a curentului prin sarcină. Reglajul poate fi făcut în mod continuu de la zero până

Mai mult

Microsoft Word - Tema 06 - Convertoare analog-numerice.doc

Microsoft Word - Tema 06 - Convertoare analog-numerice.doc Convertoare analog-numerice (ADC) Convertoarele analog-numerice sunt circuite electronice (în variantă integrată sau hibridă) care, printr-un algoritm intrinsec de funcţionare, asociază valorilor tensiunii

Mai mult

Microsoft PowerPoint - ARI_R_c9-10_IP_part2 [Compatibility Mode]

Microsoft PowerPoint - ARI_R_c9-10_IP_part2 [Compatibility Mode] 2.1.2.2.2 Divizarea în subreţele de dimensiuni variabile Divizarea în subreţele de dimensiuni variabile sau cu măşti de subreţea de lungime diferită, VLSM (variable length subnet masks) subreţelele obţinute

Mai mult

PPSD

PPSD Modele paralele SPMD Modelul SPMD Comunicarea prin mesaje Message Passing Interface Modelul SPMD Modelul SPMD (Single Program Multiple Data) Acesta este un model adecvat calculatoarelor MIMD In cele ce

Mai mult

Logică și structuri discrete Limbaje regulate și automate Marius Minea marius/curs/lsd/ 24 noiembrie 2014

Logică și structuri discrete Limbaje regulate și automate Marius Minea   marius/curs/lsd/ 24 noiembrie 2014 Logică și structuri discrete Limbaje regulate și automate Marius Minea marius@cs.upt.ro http://www.cs.upt.ro/ marius/curs/lsd/ 24 noiembrie 2014 Un exemplu: automatul de cafea acțiuni (utilizator): introdu

Mai mult

Transmisia datelor multimedia in retele de calculatoare <Titlu Lucrare>

Transmisia datelor multimedia in retele de calculatoare <Titlu Lucrare> UNIVERSITY POLITEHNICA of BUCHAREST DEPARTMENT OF COMPUTER SCIENCE Analiza şi extragerea automată a conţinutului documentelor Paper-Based Augmented Reality Căţoiu Laurenţiu-Cătălin catoiulaurentiu@yahoo.com

Mai mult

Microsoft Word - Programarea Portului Paralel - IBM-PC. .doc

Microsoft Word - Programarea Portului Paralel - IBM-PC. .doc Lucrarea 1. Programarea Portului Paralel - IBM-PC. 1. Introducere. Lucrea are ca scop familiarizarea cu portul paralel al PC-ului, descriindu-se structura portului parelel, configuraţia acestuia, regimurile

Mai mult

Informatică aplicată TEST GRILA TEST GRILĂ - REZOLVAT 1.Structura de principiu a unui sistem de calcul contine urmatoarele componente principale: A. u

Informatică aplicată TEST GRILA TEST GRILĂ - REZOLVAT 1.Structura de principiu a unui sistem de calcul contine urmatoarele componente principale: A. u Informatică aplicată TEST GRILA TEST GRILĂ - REZOLVAT 1.Structura de principiu a unui sistem de calcul contine urmatoarele componente principale: A. unitatea de intrarea B. unitatea de memorie interna

Mai mult

‍ Ghid Web connect Versiunea 0 ROM Modelele aplicabile Acest manual de utilizare se referă la următoarele modele: MFC-J4510DW Definiţia notelor În acest manual de utilizare, este folosită următoarea pictogramă:

Mai mult

Microsoft Word - L63 FPGA.doc

Microsoft Word - L63 FPGA.doc Programarea hardware-ului reconfigurabil cu modulul LabVIEW 7 FPGA conf. Tom SAVU U.P.B. C.T.A.N.M. Odat cu lansarea noii versiuni LabVIEW 7 Express a mediului su de programare grafic, National Instruments

Mai mult

Cuprins

Cuprins Cuprins 1. Introducere 2. Modele și limbaje pentru specificația sistemelor 3. Interfețe de comunicație 4. Periferice pentru sisteme dedicate 5. Microcontrolere 6. Procesoare dedicate 7. Dezvoltarea programelor

Mai mult

Slide 1

Slide 1 STRUCTURI DE DATE Fundamente C/C++ EVALUARE SEMESTRU: 4 puncte Testare cunostinte: 1. Calculator (2 puncte) 2. Scris/Oral (2 puncte) 2 EVALUARE EXAMEN: 6 puncte Test cunostinte (pe calculator): 1 punct.

Mai mult

De la BIT la procesor

De la BIT la procesor Florin ONIGA DE LA BIT LA PROCESOR. Introducere în arhitectura calculatoarelor Editura UTPRESS Cluj-Napoca, 29 ISBN 978-66-737-366- Editura U.T.PRESS Str.Observatorului nr. 34 4775 Cluj-Napoca Tel.:264-4.999

Mai mult

Cuantizare Vectoriala.doc

Cuantizare Vectoriala.doc 4. Metoda de quadro în compresie fractala optimizata rata-distorsiune În cele ce urmeaza descriem o metoda de quadro bazata pe optimizarea criteriului ratadistorsiune în compresia fractala a imaginilor.

Mai mult

Microsoft Word - Notiuni de arhitectura calculatoarelor.doc

Microsoft Word - Notiuni de arhitectura calculatoarelor.doc NOTIUNI DE ARHITECTURA CALCULATOARELOR Introducere In acest capitol se vor studia cateva notiuni legate de modul in care este realizat un calculator si cum este utilizat acesta in indeplinirea unor functii.

Mai mult

Ghidul Utilizatorului GW210

Ghidul Utilizatorului GW210 V1.0 1 Întroducere... 3 1.2 Componente hardware... 3 1.2 Componente software... 3 2 Instalarea GW210... 5 2.1 Conectarea GW210...5 2.2 Conectare iniţială...5 2.3 Configurarea GW210...5 3 Setările GW210

Mai mult

Produkt-Datenblatt

Produkt-Datenblatt 3 035 Regulatoare de temperatură cameră, cu comutare pentru 7 zile şi afişaj LCD pentru sisteme de încălzire RDE10 Comandă cu 2 poziţii, cu ieşire ON/OFF pentru încălzire Moduri de lucru: mod normal şi

Mai mult

Register your product and get support at Straightener HP4668/22 RO Manual de utilizare

Register your product and get support at   Straightener HP4668/22 RO Manual de utilizare Register your product and get support at www.philips.com/welcome Straightener HP4668/22 RO Manual de utilizare abc h g f e d i j Română Felicitări pentru achiziţie şi bun venit la Philips! Pentru a beneficia

Mai mult

Cursant prof. Constantin Vasilache PROIECT DE LECȚIE Clasa Data: Obiectul: Tehnologia Informației și a Comunicațiilor Nr. de ore: 1oră Tema lecţiei: A

Cursant prof. Constantin Vasilache PROIECT DE LECȚIE Clasa Data: Obiectul: Tehnologia Informației și a Comunicațiilor Nr. de ore: 1oră Tema lecţiei: A PROIECT DE LECȚIE Clasa Data: Obiectul: Tehnologia Informației și a Comunicațiilor Nr. de ore: 1oră Tema lecţiei: Arhitectura unui calculator Tipul lecţiei: de verificare și apreciere a rezultatelor școlare

Mai mult

L4. TEOREMELE ALGEBREI BINARE. FUNCȚII LOGICE ELEMENTARE. OPERAȚII LOGICE PE BIT. SINTEZA FUNCȚIILOR LOGICE DIN TABELE DE ADEVĂR 1. Obiective Prin par

L4. TEOREMELE ALGEBREI BINARE. FUNCȚII LOGICE ELEMENTARE. OPERAȚII LOGICE PE BIT. SINTEZA FUNCȚIILOR LOGICE DIN TABELE DE ADEVĂR 1. Obiective Prin par L4. TEOREMELE LGEBREI BINRE. FUNCȚII LOGICE ELEMENTRE. OPERȚII LOGICE PE BIT. SINTEZ FUNCȚIILOR LOGICE DIN TBELE DE DEVĂR 1. Obiective Prin parcurgerea acestei ședințe de laborator studenții vor fi capabili:

Mai mult

Programarea şi utilizarea calculatoarelor

Programarea şi utilizarea calculatoarelor Universitatea Constantin Brâncuşi din Târgu-Jiu Facultatea de Inginerie Departamentul de Automatică, Energie şi Mediu Programarea calculatoarelor Lect.dr. Adrian Runceanu Curs 3 Elemente introductive ale

Mai mult

Fâciu N. Maria-Ema CASA CORPULUI DIDACTIC BRĂILA PROGRAM DE FORMARE INFORMATICĂ ȘI TIC PENTRU GIMNAZIU CLASA A V-A SERIA 1 GRUPA 2 CURSANT: Fâciu N. M

Fâciu N. Maria-Ema CASA CORPULUI DIDACTIC BRĂILA PROGRAM DE FORMARE INFORMATICĂ ȘI TIC PENTRU GIMNAZIU CLASA A V-A SERIA 1 GRUPA 2 CURSANT: Fâciu N. M CASA CORPULUI DIDACTIC BRĂILA PROGRAM DE FORMARE INFORMATICĂ ȘI TIC PENTRU GIMNAZIU CLASA A V-A SERIA 1 GRUPA 2 CURSANT: PROIECTUL UNITĂŢII DE ÎNVĂŢARE ALGORITMI Notă: filmele didactice, dezbaterile, jocurile

Mai mult

Microsoft Word - tcad_l6.doc

Microsoft Word - tcad_l6.doc TEHNICI CAD PENTRU MODULE ELECTRONICE LUCRAREA DE LABORATOR nr. 4 Construirea plăcii de circuit imprimat în blocul OrCAD Layout I. Scopul lucrării: Scopul lucrării de laborator nr. 6 este de a prezenta

Mai mult

FIŞA DISCIPLINEI ARHITECTURA SISTEMELOR DE CALCUL 1. Date despre program 1.1 Instituţia de învăţământ superior Universitatea POLITEHNICA din Bucureşti

FIŞA DISCIPLINEI ARHITECTURA SISTEMELOR DE CALCUL 1. Date despre program 1.1 Instituţia de învăţământ superior Universitatea POLITEHNICA din Bucureşti FIŞA DISCIPLINEI ARHITECTURA SISTEMELOR DE CALCUL 1. Date despre program 1.1 Instituţia de învăţământ superior Universitatea POLITEHNICA din Bucureşti 1.2 Facultatea Facultatea de Electronică, Telecomunicaţii

Mai mult

Guns N' Roses Video Slots Regulile jocului Guns N' Roses Video Slots este un slot video cu 5 role, 3 rânduri și 20 de linii care conține substituții W

Guns N' Roses Video Slots Regulile jocului Guns N' Roses Video Slots este un slot video cu 5 role, 3 rânduri și 20 de linii care conține substituții W Guns N' Roses Video Slots Regulile jocului Guns N' Roses Video Slots este un slot video cu 5 role, 3 rânduri și 20 de linii care conține substituții Wild, Expanding Wilds, Appetite for Destruction Wild,

Mai mult

Slide 1

Slide 1 - Curs 2 - Verilog HDL reprezintă un limbaj utilizat pentru descrierea sistemelor numerice. Sistemele numerice pot fi calculatoare, componenete ale acestora sau alte structure care manipulează informaţie

Mai mult

Advance CAD 2016 SP1 Acest document descrie îmbunătățirile pentru Advance CAD 2016 Service Pack 1. Notă: Advance CAD 2016 Service Pack 1 este compatib

Advance CAD 2016 SP1 Acest document descrie îmbunătățirile pentru Advance CAD 2016 Service Pack 1. Notă: Advance CAD 2016 Service Pack 1 este compatib Advance CAD 2016 SP1 Acest document descrie îmbunătățirile pentru Advance CAD 2016 Service Pack 1. Notă: Advance CAD 2016 Service Pack 1 este compatibil cu Windows 10. NOUTĂȚI 1: IMPLEMENTAREA VARIABILEI

Mai mult

Programarea şi utilizarea calculatoarelor

Programarea şi utilizarea calculatoarelor Universitatea Constantin Brâncuşi din Târgu-Jiu Facultatea de Inginerie Departamentul de Automatică, Energie şi Mediu Programarea calculatoarelor Lect.dr. Adrian Runceanu Curs 6 Instrucţiunile limbajului

Mai mult

Ghid de conectare la web MFC-J825DW MFC-J835DW DCP-J925DW Versiunea 0 ROM

Ghid de conectare la web MFC-J825DW MFC-J835DW DCP-J925DW Versiunea 0 ROM Ghid de conectare la web MFC-J825DW MFC-J835DW DCP-J925DW Versiunea 0 ROM Definiţia notelor În acest manual de utilizare, este folosită următoarea pictogramă: Notele vă informează asupra măsurilor care

Mai mult

PowerPoint Presentation

PowerPoint Presentation Seminar 5 SQL Server - Tuning-ul performanței - Tuning-ul interogărilor - metodologie Identificarea așteptărilor (bottleneck) la nivel de server I/O latches Update log Blocare Altele Corelare așteptări

Mai mult

Anexa Invitație de participare (CO-B) Proiectul privind Învățământul Secundar (ROSE) Schema de Granturi pentru Licee Beneficiar: Liceul Tehnolog

Anexa Invitație de participare (CO-B) Proiectul privind Învățământul Secundar (ROSE) Schema de Granturi pentru Licee Beneficiar: Liceul Tehnolog Anexa 6.2.1 Invitație de participare (CO-B) Proiectul privind Învățământul Secundar (ROSE) Schema de Granturi pentru Licee Beneficiar: Liceul Tehnologic Ion Creangă, comuna Pipirig Titlul subproiectului:

Mai mult