PowerPoint Presentation

Documente similare
Slide 1

Discipline aferente competenţelor Facultate: Facultatea de Electronică şi Telecomunicaţii Universitate: UNIVERSITATEA POLITEHNICA DIN TIMIȘOARA Domeni

MD-2045, CHIŞINĂU, MD – 2004, bd

Ch

4. Creşterea vitezei de execuţie a algoritmilor intensiv computaţio-nali cu ajutorul coprocesoarelor ataşate, bazate pe structuri logice reconfigurabi

Universitatea Lucian Blaga din Sibiu Departamentul de Calculatoare și Inginerie Electrică Valabilă an universitar: Date despre program In

Interfețe și Protocoale de Comunicații Arduino-Port Paralel Arduino. Laborator 1- Portul Paralel 1 Caracteristici generale Arduino UNO este o placă de

Microsoft Word - L63 FPGA.doc

Logică și structuri discrete Limbaje regulate și automate Marius Minea marius/curs/lsd/ 24 noiembrie 2014

Microsoft Word - TIC5

Unitatea: Școala Gimnazială Disciplina: Informatică și TIC Programa școlară aprobată cu OMEN nr.3393 din Profesor: prof. Clasa: a V-a A, B

Lucrarea 10

Sisteme cu FPGA şi Soft Processors

Nr

PROGRAMARE ORIENTATA PE OBIECTE

ALGORITMII ŞI REPREZENTAREA LOR Noţiunea de algoritm Noţiunea de algoritm este foarte veche. Ea a fost introdusă în secolele VIII-IX de către Abu Ja f

Microsoft Word - Tema 06 - Convertoare analog-numerice.doc

1

PowerPoint-Präsentation

FIŞA UNITĂŢII DE CURS/MODULULUI MD-2004, CHIŞINĂU, BD. ȘTEFAN CEL MARE, 168, TEL: , TEHNOLOGII INFORMAŢIONALE APLICATE 1. Date

ANALIZA IMPACTULUI ERORILOR TRANZITORII ALE CIRCUITELOR CMOS SUBALIMENTATE LA MULTIPLE NIVELE DE ABSTRACTIZARE ALE UNUI SISTEM DIGITAL REZUMATUL TEZEI

MINISTERUL EDUCAŢIEI NAȚIONALE UNIVERSITATEA TRANSILVANIA DIN BRAŞOV BRAŞOV, EROILOR NR. 29, , TEL , FAX Univers

FIŞA DISCIPLINEI

Proiectarea Sistemelor Software Complexe

Microsoft Word - 4-Interfete paralele.doc

Microsoft Word - 01_Introducere.doc

Prelegerea 4 În această prelegere vom învăţa despre: Algebre booleene; Funcţii booleene; Mintermi şi cuburi n - dimensionale. 4.1 Definirea algebrelor

Microsoft Word - intro_msp430.doc

Anexa nr. 2 FIŞA DISCIPLINEI 1. Date despre program 1.1 Instituţia de învăţământ superior UNIVERSITATEA DE VEST TIMISOARA 1.2 Facultatea FIZICA 1.3 De

Microsoft Word - Teme_Licenta_2019_BE.doc

De la BIT la procesor

Kein Folientitel

Dorel LUCHIAN Gabriel POPA Adrian ZANOSCHI Gheorghe IUREA algebră geometrie clasa a VIII-a ediţia a V-a, revizuită mate 2000 standard EDITURA PARALELA

Microsoft Word - Microcprocesoare.doc

LABORATOR I

L4. TEOREMELE ALGEBREI BINARE. FUNCȚII LOGICE ELEMENTARE. OPERAȚII LOGICE PE BIT. SINTEZA FUNCȚIILOR LOGICE DIN TABELE DE ADEVĂR 1. Obiective Prin par

Logică și structuri discrete Logică propozițională Marius Minea marius/curs/lsd/ 3 noiembrie 2014

Slide 1

Limbaje de ordinul I LOGICA DE ORDINUL I Un limbaj L de ordinul I este format din: o mulţime numărabilă V = {v n n N} de variabile; conectorii şi ; pa

PLAN DE ÎNVĂŢĂMÂNT începând cu anul universitar Fundaţia Sapientia - Universitatea Sapientia din Cluj-Napoca Facultatea: Ştiinţe Tehnice şi

15. Logică matematică cu aplicații în informatică - MI 3

Cuprins

Microsoft Word - IngineriF_A.DOC

Microsoft PowerPoint - ARI_R_c9-10_IP_part2 [Compatibility Mode]

UNIVERSITATEA ECOLOGICA DIN BUCURESTI FACULTATEA DE INGINERIE MANAGERIALA Tematica probei I a examenului de diploma pentru sesiunea iulie 2016: Evalua

Microsoft Word - O problema cu bits.doc

Microsoft Word - matem_aplicate in Economie aa FD Bala.doc

Microsoft Word - 5_ _Eval_ ETC_master_ESI_AnI-II_completat.doc

5_2012_2013_AC_PI_C_Anii_III-IV.xls

Microsoft Word - Planuri_Mate_

Gheorghe IUREA Adrian ZANOSCHI algebră geometrie clasa a VII-a ediţia a V-a, revizuită mate 2000 standard EDITURA PARALELA 45 Matematică. Clasa a VII-

PowerPoint Presentation

Anexa nr. 2 FIŞA DISCIPLINEI 1. Date despre program 1.1 Instituţia de învăţământ superior UNIVERSITATEA DE VEST TIMISOARA 1.2 Facultatea FIZICA 1.3 De

CASA CORPULUI DIDACTIC BRAILA PROGRAM DE FORMARE INFORMATICA SI TIC PENTRU GIMNAZIU CLASA A V-A SERIA 1 GRUPA 2 CURSANT: TIMOFTI V. AFRODITA COLEGIUL

MINISTERUL EDUCAŢIEI AL REPUBLICII MOLDOVA COORDONAT: " " 2017 Nr. de înregistrare a planului de învăţământ UNIVERSITATEA DE STAT DIN MOLDOVA Aprobat:

Slide 1

PowerPoint Presentation

CHESTIONAR

Retele Petri si Aplicatii

E_d_Informatica_sp_SN_2014_bar_10_LRO

ExamView Pro - Untitled.tst

09. Informatica 2 - MM 1

Lucrarea 13. Controlul unor procese complexe printr-o platforma de tip Arduino (continuarea lucrarii 12) 1. Obiectivul lucrarii Lucrarea isi propune s

MINISTERUL EDUCAŢIEI AL REPUBLICII MOLDOVA COORDONAT: _ J _ 2016 Nr. de înregistrare a olanului de UNIVERSITATEA DE STAT DIN MOLDOVA APROBAT: SENATUL

Controlerul LCD

Notiuni de algebra booleana

Microsoft Word - Plan de invatamant CALCULATOARE - prima pagina

FIŞA DISCIPLINEI 1. Date despre program 1.1 Instituţia de învăţământ superior Universitatea Alexandru Ioan Cuza din Iaşi 1.2 Facultatea Facultatea de

Analiză de flux de date 29 octombrie 2012

Microsoft Word - Curs_08.doc

Lucrarea nr

VI. Achiziția datelor în LabVIEW

PowerPoint-Präsentation

PLAN DE ÎNVĂŢĂMÂNT începând cu anul universitar Fundaţia Sapientia - Universitatea Sapientia din Cluj-Napoca Facultatea: Ştiinţe Tehnice şi

Subiecte

2 BAZE TEORETICE ALE REȚELELOR DE CALCULATOARE CAPITOLUL 2 BAZE TEORETICE ALE REŢELELOR DE CALCULATOARE 2.1. Necesitatea standardizării (referenţierii

Microsoft Word - FiltrareaNyquist-rezumat.doc

Microsoft Word - Curs1.docx

Microsoft Word - Lucrarea_10_t.doc

Microsoft Word - CarteC.doc

FIŞA DISCIPLINEI ARHITECTURA SISTEMELOR DE CALCUL 1. Date despre program 1.1 Instituţia de învăţământ superior Universitatea POLITEHNICA din Bucureşti

Paradigme de Programare

GHERCĂ MAGDA CASA CORPULUI DIDACTIC BRĂILA PORTOFOLIU EVALUARE INFORMATICĂ ȘI TIC PENTRU GIMNAZIU CLASA A V-A Neamț SERIA 1 GRUPA 1 CURSANT: GHERCĂ G

MINISTERUL EDUCAŢIEI, CULTURII ŞI CERCETĂRII AL REPUBLICII MOLDOVA COORDONAT: 2017 Nr. de înregistrare a planului de învăţământ UNIVERSITATEA DE STAT

Microsoft Word - Lab1a.doc

Cartelele telefonice

Microsoft Word - 6 FD_Informatica SGBD II CIG 2017.doc

Fâciu N. Maria-Ema CASA CORPULUI DIDACTIC BRĂILA PROGRAM DE FORMARE INFORMATICĂ ȘI TIC PENTRU GIMNAZIU CLASA A V-A SERIA 1 GRUPA 2 CURSANT: Fâciu N. M

Microsoft Word - Laboratorul 3.doc

U.T.Cluj-Napoca, C.U.N. Baia Mare Facultatea: Inginerie PLAN de INVĂŢĂMÂNT Domeniul: Calculatoare şi Tehnologia Informaţiei anul univ Progr

Slide 1

FD Informatica

Microsoft Word - CarteC.doc

FIŞA DISCIPLINEI 1. Date despre program 1.1 Instituţia de învăţământ superior Universitatea de Vest din Timișoara 1.2 Facultatea Matematică și Informa

LOGICA MATEMATICA SI COMPUTATIONALA Sem. I,

2

Transcriere:

Circuite Integrate Digitale Conf. Monica Dascălu

Curs Seminar Laborator notă separată Notare: 40% seminar 20% teme // + TEMA SUPLIMENTARA 40% examen 2014 CID - curs 1 2

Bibliografie Note de curs Cursul profesorului G. Stefan: http://arh.pub.ro/gstefan/0-book.pdf Bibliografie suplimentară Barry Wilkinson Electronica Digitală bazele proiectării, Ed. Teora, 2002 John Wakerly Circuite digitale. Principiile şi practicile folosite în proictare, Ed. Teora, 2002 M. Dascalu, D. Stefan, D.Davidescu, Z. Hascsi, B. Mitu. Probleme de circuite integrate digitale Ed. Printech, Bucuresti, 1998. 2014 CID - curs 1 3

Cursul 1 Analog vs. Digital Definirea formală a unui sistem digital Exemple Introducerea limbajului Verilog HDL Aritmetica binară 2014 CID - curs 1 4

Analog vs. Digital Analog Orice formă de undă Digital Numai semnale dreptunghiulare În orice punct al circuitului, toate semnalele au o semnificaţie 2014 CID - curs 1 5

Analog vs. Digital Transmisia datelor Detecţia/corecţia erorilor Memorare Procesare 2014 CID - curs 1 6

Ce înseamnă 0 şi 1? Trei tipuri de semnificaţii: Aritmetic numere aritmetică binară Logic valori logice (adevărat/fals) algebră logică Simbolic diferite simboluri teoria limbelor formale 2014 CID - curs 1 7

Un sistem digital 2014 CID - curs 1 8

Conversia analog - digital 2014 CID - curs 1 9

0 2014 CID - curs 1 10

Tema 1 Desenaţi formele de undă pentru semnalele digitale corespunzătoare conversiei analog-digital din figurile anterioare 2014 CID - curs 1 11

Circuitele digitale Versiunea cea mai simplă a sistemelor digitale Toate semnalele sunt digitale Sunt caracterizate de: Conexiuni Funcţia realizată 2014 CID - curs 1 12

Exemple simple Sumatorul Numărătorul Circuite combinaţionale (logice) Circuite secvenţiale Semnalul de ceas 2014 CID - curs 1 13

Circuit combinational La intrare: configuraţii binare pe n biţi (le luăm în considerare pe toate) Ieşirea se poate modifica de oricâte ori se modifică o intrare Pe ieşire apar doar o parte din configuraţiile binare pe m biţi t p : timp de propagare intervalul marcat tranziţia ieşirii după comutarea intrării 2014 CID - curs 1 14

Registrul t su : timp de set up t hold : timp de hold t p : timp de propagare (dela frontul ceasului la ieşire) T clock : perioada semnalului de ceas t i 1 t i t i+1 : timpul este discret Intrările se pot modifica doar în intervalele indicate 2014 CID - curs 1 15

Definirea formală a unui sistem digital porturi: Intrări Ieşiri Starea internă (mulţimea stărilor posibile) Funcţiile care determină: Tranziţia stărilor Calculul ieşirilor 2014 CID - curs 1 16

Verilog Noţiuni preliminare

Limbajul Verilog Limbajul de descriere hardware Verilog HDL este folosit pentru descrierea circuitelor digitale, pentru descrierea circuitelor verificare si testare simulare se poate face descrierea circuitelor de la nivel de sistem până la nivel de tranzistor de obicei lucrăm cu descrieri RTL (register transfer logic)

Software Xilinx ISE pentru platforme experimentale cu circuite Xilinx implementarea circuitelor proiectate în FPGA optimizarea proiectului pe FPGA ModelSim simulator de circuite digitale elaborarea proiectelor compilare, verificare, testare, debugging simulare

Noțiuni de bază conceptul de modul corespondența cu circuite reale NU se face optimizarea la nivel de algoritm conceptul de testbench

Module modulele nu se pot intersecta, include, apela unele pe altele principala modalitate de a apela un modul se numește instanțiere semnalele pot avea nume identice cu porturile si nume identice în diferite module

Semnale și variabile diferența porturi / semnale diferența semnale / variabile vectori două clase principale net reg

Porturile unui circuit trei tipuri in out inout două modalități de definire in lista de porturi in cadrul definițiilor

Instanțierea modulelor utilizarea modulelor în circuit, prin conectarea unor semnale din circuit la porturile modulului, sau prin interconectarea porturilor unor module trebuie urmărită consistența / compatibilitatea interconexiunilor erori și avertismente (warninguri)

Descrierea circuitelor structurală comportamentală behavioural cele două tipuri de descriere a circuitelor sunt axate pe schemă algoritm

FPGA Ce este un FPGA?

FPGA Field Programmable Gate Array o clasă specială de PLD-uri (dispozitive logice programabile) conţin o reţea de blocuri logice şi de interconexiuni programabile

Structura unui FPGA 1. matrice de unităţi funcţionale programabile (de obicei blocuri logice configurabile) care implementează logica sevenţială şi combinaţională 2. structură de interconectare programabilă care stabileşte rutarea semnalelor 3. o memorie pentru configurare, care programează funcţionalitatea dispozitivului 4. resursele I/O

Specific FPGA 1. performanţa pentru orice aplicaţie depinde de rutare 2. funcţionalitatea este implementată prin tabele de adevăr 3. în general sunt volatile

Programarea unui FPGA un program (secvenţă binară complexă) încărcat într-o memorie CMOS statică. conţinutul acestui SRAM este aplicat apoi liniilor de control ale porţilor de transmisie şi celorlalte dispozitive se programează 1. funcţionalitatea blocurilor logice 2. se configurează diverşi parametri şi caracteristici 3. se stabileşte conectarea între diferitele blocuri funcţionale 4. sunt configurate porturile bidirecţionale I/O

De ce avem nevoie de FPGA-uri? alternativă pentru ASIC (implementează pe un singur chip toată logica suplimentară aferentă unui sistem digital) posibilitatea reprogramării implementare relativ facilă (nu industrial) aplicaţie particulară în dezvoltarea prototipurilor ASIC